Publications


2024
PhD Theses
Automatisierte Workflowanalyse im OP für die chirurgische Logistik. PhD dissertation
Kohout, L.
2024, April 18. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000169966
Implementation of Machine Learning Models for Transmission Grid Monitoring and Blackout Prevention. PhD dissertation
Gemeda, D. B.
2024, March 21. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000169464
Development of a Novel Directional Backlight for Multi-User Display Applications. PhD dissertation
Zhou, J.
2024, March 11. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000168765
Glaubwürdigkeit und Einsatz des szenariobasierten X-in-the-Loop-Tests für Fahrerassistenzsysteme. PhD dissertation
Reisgys, F. M.
2024, January 22. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000167501
Journal Articles
The data acquisition system for the PANDA Micro-Vertex Detector
Manzhura, O.; Caselle, M.; Ardila-Perez, L. E.; Calvo, D.; Chilingaryan, S.; Cossio, F.; Dritschler, T.; Kopmann, A.; Lenta, F.; Mazza, G.; Peter, M.; Sidorenko, V.; Staněk, P.; Stockmanns, T.; Tomášek, L.; Tröll, N.; Unger, K. L.; Zaunick, H.-G.; Becker, J.; Brinkmann, K.-T.
2024. Journal of Instrumentation, 19 (03), Art.-Nr.: C03036. doi:10.1088/1748-0221/19/03/C03036
Nutzen der partizipatorischen Mitwirkung von PatientInnen an der Entwicklung einer dermatologischen Therapie-App – ein Bericht aus der Praxis [Benefits of participatory involvement of patients in the development of a dermatological treatment app—A report from the practice]
Koopmann, A.; Pfeifer, A. M.; Schweickart, L.; Biniaminov, N.; Haas, V.; Marquardt, P.; Gößwein, A.; Czaban, C.; Biniaminov, S.; Blauth, M.; Glatzel, C.; Zimmermann, C.; Stork, W.; Olsavszky, V.; Schmieder, A.
2024. Die Dermatologie. doi:10.1007/s00105-024-05326-7
S100A11 promotes focal adhesion disassembly via myosin II-driven contractility and Piezo1-mediated Ca2+ entry
Mohammed, T. O.; Lin, Y.-R.; Akter, L.; Weissenbruch, K.; Ngo, K. X.; Zhang, Y.; Kodera, N.; Bastmeyer, M.; Miyanari, Y.; Taoka, A.; Franz, C. M.
2024. Journal of Cell Science, 137 (2), Art.-Nr.: jcs261492. doi:10.1242/jcs.261492
Time error accumulation in a hierarchical time and clock distribution network with deterministic optical links
CBM Collaboration; Sidorenko, V.; Müller, W. F. J.; Emschermann, D.; Zabolotny, W.; Fröhlich, I.; Becker, J.
2024. Journal of Instrumentation, 19 (03), Art.-Nr.: C03014. doi:10.1088/1748-0221/19/03/C03014
Opto-fluidically multiplexed assembly and micro-robotics
Erben, E.; Liao, W.; Minopoli, A.; Maghelli, N.; Lauga, E.; Kreysing, M.
2024. Light: Science & Applications, 13 (1), 59. doi:10.1038/s41377-024-01406-4
Real-Time Graph Building on FPGAs for Machine Learning Trigger Applications in Particle Physics
Neu, M.; Becker, J.; Dorwarth, P.; Ferber, T.; Reuter, L.; Stefkova, S.; Unger, K.
2024. Computing and Software for Big Science, 8 (1), Artkl.Nr.: 8. doi:10.1007/s41781-024-00117-0
A numerical verification method for multi-class feed-forward neural networks
Grimm, D.; Tollner, D.; Kraus, D.; Török, Á.; Sax, E.; Szalay, Z.
2024. Expert Systems with Applications, 247, Art.-Nr.: 123345. doi:10.1016/j.eswa.2024.123345
Mechatronic Coupling System for Cooperative Manufacturing with Industrial Robots
Mühlbeier, E.; Bauer, V.; Schade, F.; Gönnheimer, P.; Becker, J.; Fleischer, J.
2024. 56th CIRP International Conference on Manufacturing Systems 2023 Hrsg.: Mpofu, Khumbulani; Sacks, Natasha; Damm, Oliver, 120, 744–749. doi:10.1016/j.procir.2023.09.069
Conference Papers
Gap Analysis of ISO/SAE 21434 – Improving the Automotive Cybersecurity Engineering Life Cycle
Grimm, D.; Lautenbach, A.; Almgren, M.; Olovsson, T.; Sax, E.
2024. 2023 IEEE 26th International Conference on Intelligent Transportation Systems (ITSC), Bilbao, 24th-28th September 2023, 1904 – 1911, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC57777.2023.10422100
Heterogeneous Graph-based Trajectory Prediction using Local Map Context and Social Interactions
Grimm, D.; Zipfl, M.; Hertlein, F.; Naumann, A.; Luettin, J.; Thoma, S.; Schmid, S.; Halilaj, L.; Rettinger, A.; Zöllner, J. M.
2024. 2023 IEEE 26th International Conference on Intelligent Transportation Systems (ITSC), Bilbao, 24th -28th September 2023, 2901 – 2907, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC57777.2023.10422462
Exploring Metamorphic Testing for Self-learning Functions with User Interactions
Stang, M.; Seidel, L.; Vučinić, V.; Sax, E.
2024. Human Interaction and Emerging Technologies (IHIET 2024), 195–206, AHFE International. doi:10.54941/ahfe1004569
USID - Unsupervised Identification of the Driver for Vehicle Comfort Functions
Vučinić, V.; Seidel, L.; Stang, M.; Sax, E.
2024. Human Interaction and Emerging Technologies (IHIET-AI 2024): Artificial Intelligence and Future Applications, 142–152, AHFE International. doi:10.54941/ahfe1004564
Low-latency inter-domain communication on the Xen hypervisor
Lesniak, F.; Harbaum, T.; Becker, J.
2024. 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Singapur, 18th - 21st December 2023, 340–346, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC60832.2023.00057
Context-Aware Layer Scheduling for Seamless Neural Network Inference in Cloud-Edge Systems
Stammler, M.; Sidorenko, V.; Kreß, F.; Schmidt, P.; Becker, J.
2024. 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Singapur, 18th-21st December 2023, 97–104, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC60832.2023.00022
The Impact of Different Compatibility Schemes on the Static Bus Load in Vehicle Networks
Vetter, A.; Schumacher, P.; Hedenetz, B.; Sax, E.
2024. Proceedings of Eighth International Congress on Information and Communication Technology – ICICT 2023, London, Volume 4. Ed.: X.-S. Yang, 555 – 569, Springer Nature Singapore. doi:10.1007/978-981-99-3236-8_44
Reports/Preprints
Research Data
SciTS v2.0-rc1
Mostafa, J.; Melissano, S.; Chilingaryan, S.; Kopmann, A.; Becker, J.
2024. doi:10.5281/zenodo.10580527
2023
Book Chapters
A Convolution Neural Network Based Displaced Vertex Trigger for the Belle II Experiment
Unger, K.; Becker, J.; Kiesling, C.; Ma, Y.; Meggendorfer, F.; Neu, M.; Schmidt, E.; Zweigart, U.
2023. Applied Reconfigurable Computing. Architectures, Tools, and Applications – 19th International Symposium, ARC 2023, Cottbus, Germany, September 27–29, 2023, Proceedings. Ed.: F. Palumbo, 173–184, Springer Nature Switzerland. doi:10.1007/978-3-031-42921-7_12
Threat Modeling to Design a Decentralized Health Data Management Application
Erler, C.; Hu, S.; Danelski, A.; Stork, W.; Sunyaev, A.; Gersch, M.
2023. Information Technology and Systems – ICITS 2023. Vol.: 2. Ed.: Á. Rocha, 443–455, Springer International Publishing. doi:10.1007/978-3-031-33261-6_38
PhD Theses
Erfassung der Sichtweite bei Nebel durch die Kombination aus hochauflösendem Scheinwerfer und einem Kamerasystem. PhD dissertation
Krieft, F.
2023, December 19. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000165614
Realization of highly transparent wave front printed holograms for display applications. PhD dissertation
Wilm, T.
2023, August 25. Karlsruher Institut für Technologie (KIT)
Nicht-visuelle Lichtwirkung im Fahrzeuginterieur. PhD dissertation
Niemeyer, A.
2023, June 15. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000159275
Pre-Trained Driving in Localized Surroundings with Semantic Radar Information and Machine Learning. PhD dissertation
Isele, S. T.
2023, June 2. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000158421
Task Allokation für effiziente Edge Computing Systeme. PhD dissertation
Pazmino Betancourt, V. H.
2023. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000158306
Artificial Intelligence for Spectral Analysis: a Comprehensive Framework. PhD dissertation
Xie, X.
2023, April 19. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000157893
Bewertung von Fahrerassistenzsystemen im Umfeld des szenariobasierten Testens. PhD dissertation
King, C.
2023, April 13. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000157665
Konzepte zur Kollaboration zwischen Intelligenten Geräten zum Aufbau vernetzter Städte. PhD dissertation
Lücking, M.
2023, March 29. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000157329
Human Gait Analysis using Spatiotemporal Data Obtained from Gait Videos. PhD dissertation
Abbasi, A. Q.
2023, January 25. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000155021
Master's Theses
3D Voxel Reconstruction and World Model for Autonomous Driving. master’s thesis
Yang, Y.
2023, December 31. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000168153
Reinforcement Learning for Controlled Traffic Rule Exceptions. master’s thesis
Qin, J.
2023, June 19. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000159930
Journal Articles
Modular Hardware/Software Architecture for Edge Units in Highly Flexible Manufacturing Systems
Schade, F.; Kreutzer, M.; Mühlbeier, E.; Gerlitz, E.; Gönnheimer, P.; Fleischer, J.; Becker, J.
2023. Procedia CIRP, 120, 601–606. doi:10.1016/j.procir.2023.09.045
Nanosecond multipulse retinal damage thresholds of elongated irradiance profiles in explant measurements and simulations
Herbst, M.; Kotzur, S.; Frederiksen, A.; Stork, W.
2023. Journal of Biomedical Optics, 28 (12), Art.-Nr.: 125001. doi:10.1117/1.JBO.28.12.125001
EFFECT: An End-to-End Framework for Evaluating Strategies for Parallel AI Anomaly Detection
Stammler, M.; Höfer, J.; Kraus, D.; Schmidt, P.; Hotfilter, T.; Harbaum, T.; Becker, J.
2023. Procedia Computer Science, 222, 499 – 508. doi:10.1016/j.procs.2023.08.188
Dimensional emotion recognition from camera-based PRV features
Zhou, K.; Schinle, M.; Stork, W.
2023. Methods, 218, 224–232. doi:10.1016/j.ymeth.2023.08.014
Investigation of high radiance laser-pumped phosphor converted light sources in sensor applications
Müller, J.; Wilm, T.; Ramsteiner, I.; Fieß, R.; Neumann, C.
2023. DGaO-Proceedings, 124, Art.-Nr. a39
CNNParted: An open source framework for efficient Convolutional Neural Network inference partitioning in embedded systems
Kreß, F.; Sidorenko, V.; Schmidt, P.; Hoefer, J.; Hotfilter, T.; Walter, I.; Harbaum, T.; Becker, J.
2023. Computer Networks, 229, Article no: 109759. doi:10.1016/j.comnet.2023.109759
Evaluation of GBT-FPGA for timing and fast control in CBM experiment
Sidorenko, V.; Müller, W. F. J.; Zabolotny, W.; Fröhlich, I.; Emschermann, D.; Becker, J.
2023. Journal of Instrumentation, 18 (2), Art.-Nr.: C02052. doi:10.1088/1748-0221/18/02/C02052
Multiplexed Holographic Combiner with Extended Eye Box Fabricated by Wave Front Printing
Wilm, T.; Kibgies, J.; Fiess, R.; Stork, W.
2023. Photonics, 9 (6), Art.-Nr.: 419. doi:10.3390/photonics9060419
Operation of the Neural z-Vertex Track Trigger for Belle II in 2021 - a Hardware Perspective
Unger, K. L.; Bähr, S.; Becker, J.; Knoll, A. C.; Kiesling, C.; Meggendorfer, F.; Skambraks, S.
2023. Journal of Physics: Conference Series, 2438, Article no: 012056. doi:10.1088/1742-6596/2438/1/012056
Data-driven design of the Belle II track segment finder
Unger, K. L.; Neu, M.; Becker, J.; Schmidt, E.; Kiesling, C.; Meggendorfer, F.; Skambraks, S.
2023. Journal of Instrumentation, 18 (2), Art.-Nr.: C02001. doi:10.1088/1748-0221/18/02/C02001
Conference Papers
ATLAS: An Approximate Time-Series LSTM Accelerator for Low-Power IoT Applications
Kreß, F.; Serdyuk, A.; Hiegle, M.; Waldmann, D.; Hotfilter, T.; Hoefer, J.; Hamann, T.; Barth, J.; Kämpf, P.; Harbaum, T.; Becker, J.
2023. 26th Euromicro Conference on Digital System Design (DSD 2023), 569–576, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD60849.2023.00084
EfficientPPS: Part-aware Panoptic Segmentation of Transparent Objects for Robotic Manipulation
Alt, B.; Nguyen, M. D.; Hermann, A.; Katic, D.; Jäkel, R.; Dillmann, R.; Sax, E.
2023. Europe ISR 2023 - International Symposium on Robotics, Proceedings, 131 – 138, VDE Verlag
Reinforcement Learning Enabled Multi-Layered NoC for Mixed Criticality Systems
Anantharajaiah, N.; Lesniak, F.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 38 – 44, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC60832.2023.00014
A Low-Stall Methodology for an Interleaved Processor State Replication
Kempf, F.; Höfer, J.; Hotfilter, T.; Becker, J.
2023. 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 276 – 283, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC60832.2023.00048
Focus on the Challenges: Analysis of a User-friendly Data Search Approach with CLIP in the Automotive Domain
Rigoll, P.; Petersen, P.; Stage, H.; Ries, L.; Sax, E.
2023. 2023 IEEE 26th International Conference on Intelligent Transportation Systems (ITSC), 168–174, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC57777.2023.10422271
Design Space Exploration on Efficient and Accurate Human Pose Estimation from Sparse IMU-Sensing
Fürst-Walter, I.; Nappi, A.; Harbaum, T.; Becker, J.
2023. 2023 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS), Detroit, Mi, 1st-5th October 2023, 10888 – 10893, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IROS55552.2023.10341256
Towards Predictive Lifetime-Oriented Temperature Control of Power Electronics in E-vehicles via Reinforcement Learning
Chu, A.; Xie, X.; Hermann, C. M.; Stork, W.; Roth-Stielow, J.
2023. IEEE International Conference on Big Data (BigData), Sorrento, Italy, 15th-18th December 2023, 1667–1676, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigData59044.2023.10386292
Artificial Intelligence for Spectral Analysis: Challenges and Opportunities
Chu, A.; Xie, X.; Stork, W.
2023. IEEE International Conference on Big Data (BigData), Sorrento, Italy, 15th-18th December 2023, 5176–5180, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigData59044.2023.10386853
Plug-and-Play Feature for Automotive Camera Sensors Using ReCoIN Model With Smart Configuration
Ramesh, G. B.; Chamas, M.; Schindewolf, M.; Kraus, D.; Sax, E.
2023. 2023 12th International Conference on Control, Automation and Information Sciences (ICCAIS), 725–732, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCAIS59597.2023.10382373
Co-Simulating Region-Based Dynamic Voltage Scaling for FPGA Architecture Design
Pfau, J.; Hernandez, J.; Reuter, M.; Hofmann, K.; Becker, J.
2023. 2023 IEEE Nordic Circuits and Systems Conference (NorCAS), Aalborg, 31st October - 01st November 2023, 7 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NorCAS58970.2023.10305486
Deep Reinforcement Learning Based Energy Management Strategy in Fuel Cell Range Extender Buses
Junk, C.; Kraus, D.; Sax, E.
2023. 2023 IEEE 14th Annual Ubiquitous Computing, Electronics and Mobile Communication Conference (UEMCON), 0352–0357, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/UEMCON59035.2023.10316168
Context-Aware Policy for Route Planning and Feasible Vehicle Technologies
Kraus, D.; Junk, C.; Stang, M.; Sax, E.
2023. 2023 IEEE International Automated Vehicle Validation Conference (IAVVC), 6 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IAVVC57316.2023.10328101
Introducing L-Shaping for a Streamlined Lidar-Based Perception in Urban Platooning
Baumann, D.; Kraus, D.; Kechler, N.; Fiedler, L.; Sax, E.; Venkatesh, N.
2023. 2023 IEEE International Automated Vehicle Validation Conference (IAVVC), Austin Tx, 16th-18 October 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IAVVC57316.2023.10328037
Determination of the biological variability of porcine explant tissue in retinal damage ex-vivo experiments with optical laser radiation
Herbst, M.; Frederiksen, A.; Stork, W.
2023. A. Dudley & A. V. Laskin (Eds.), Laser Beam Shaping XXIII, 15, SPIE. doi:10.1117/12.2676827
Leveraging Mixed-Precision CNN Inference for Increased Robustness and Energy Efficiency
Hotfilter, T.; Hoefer, J.; Merz, P.; Kreß, F.; Kempf, F.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 36th International System-on-Chip Conference (SOCC), Santa Clara, USA, 05-08 September 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC58585.2023.10256738
Towards Hardware-Software Self-Adaptive Acceleration of Spiking Neural Networks on Reconfigurable Digital Hardware
Pachideh, B.; Zielke, C.; Nitzsche, S.; Becker, J.
2023. 2023 IEEE 36th International System-on-Chip Conference (SOCC), Santa Clara, USA, 05-08 September 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC58585.2023.10257066
European Processor Initiative Demonstration of Integrated Semi-Autonomous Driving System
Hofman, D.; Brcic, M.; Kovac, M.; Hotfilter, T.; Becker, J.; Reinhardt, D.; Grigorescu, S. M.; Stevens, R.; Vo, T. T.
2023. 2023 IEEE 36th International System-on-Chip Conference (SOCC), Santa Clara, USA, 05-08 September 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC58585.2023.10257105
Approximate Accelerators: A Case Study using Runtime Reconfigurable Processors
Lesniak, F.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 36th International System-on-Chip Conference (SOCC), Santa Clara, USA, 05-08 September 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC58585.2023.10257090
Automated Replacement of State-Holding Flip-Flops to Enable Non-Volatile Checkpointing
Kreß, F.; Pfau, J.; Kempf, F.; Schmidt, P.; He, Z.; Harbaum, T.; Becker, J.
2023. 2023 IEEE Nordic Circuits and Systems Conference (NorCAS), 31st October - 1st November 2023, Aalborg, Denmark, 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NorCAS58970.2023.10305469
Fleet data used for self-learning functions in commercial vehicles
Sommer, M.; Sax, E.; Rösch, T.
2023. Commercial Vehicles 2023, Baden-Baden, 14th - 15th June 2023, 81 – 92, VDI Verlag. doi:10.51202/9783181024171-81
Potential of systematically generated training datasets on the accuracy and generalization of AI-based approaches for the automated identification of machine control signals
Gönnheimer, P.; Ströbel, R.; Roßkopf, A.; Dörflinger, R.; Walter, I.; Becker, J.; Fleischer, J.
2023. 16th CIRP Conference on Intelligent Computation in Manufacturing Engineering CIRP ICME ‘22, Italy. Hrsg.: R. Teti, D. D’Addona, 145 – 150, Elsevier. doi:10.1016/j.procir.2023.06.026
Unraveling Scenario-Based Behavior of a Self-Learning Function with User Interaction
Stang, M.; Schindewolf, M.; Sax, E.
2023. Human Interaction and Emerging Technologies (IHIET 2023): Artificial Intelligence and Future Applications. Ed.: T. Ahram, AHFE International. doi:10.54941/ahfe1004028
A Model-Based Approach to Automotive Feature Development for Updates and Upgrades
Schindewolf, M.; Wittler, J. W.; Kühn, T.; Grimm, D.; Sax, E.
2023. 2023 IEEE International Conference on Service-Oriented System Engineering (SOSE), 19–26, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOSE58276.2023.00009
Towards EEG-based objective ADHD diagnosis support using convolutional neural networks
Stock, S.; Hausberg, J.; Armengol-Urpi, A.; Kaufmann, T.; Schinle, M.; Gerdes, M.; Stork, W.
2023. 2023 IEEE Conference on Computational Intelligence in Bioinformatics and Computational Biology (CIBCB), Eindhoven, Netherlands, 29-31 August 2023, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CIBCB56990.2023.10264876
DREAM: Distributed Reinforcement Learning Enabled Adaptive Mixed-Critical NoC
Anantharajaiah, N.; Xu, Y.; Lesniak, F.; Harbaum, T.; Becker, J.
2023. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISVLSI59464.2023.10238569
Broadband MIMO Testbed for the Development and Research on 6G
Nuss, B.; Groeschel, P.; Pfau, J.; Becker, J.; Vossiek, M.; Zwick, T.
2023. European Wireless 2022 ; 27th European Wireless Conference, Dresden, 19th - 21st September 2022, 89 – 91, VDE VERLAG GMBH
A Hardware-Aware Sampling Parameter Search for Efficient Probabilistic Object Detection
Hoefer, J.; Hotfilter, T.; Kreß, F.; Qiu, C.; Harbaum, T.; Becker, J.
2023. Computer Vision Systems – 14th International Conference, ICVS 2023, Vienna, Austria, September 27–29, 2023. Ed.: H. Christensen, 299–309, Springer Nature Switzerland. doi:10.1007/978-3-031-44137-0_25
Pattern-Based Information Flow Control for Safety-Critical On-Chip Systems
Dörr, T.; Schade, F.; Becker, J.
2023. Computer Safety, Reliability, and Security. Ed.: J. Guiochet, 181–195, Springer Nature Switzerland. doi:10.1007/978-3-031-40923-3_14
A Scalable and Cost-Efficient Antenna Testbed Using FPGA-Server Compound Structures for Prototyping 6G Applications
Neu, M.; Karle, C.; Nuss, B.; Groeschel, P.; Becker, J.
2023. 2023 19th International Conference on Distributed Computing in Smart Systems and the Internet of Things (DCOSS-IoT), 171–178, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DCOSS-IoT58021.2023.00039
Multi-layer Approach for Energy Consumption Optimization in Electric Buses
Rösch, T.; Raghuraman, S.; Sommer, M.; Junk, C.; Baumann, D.; Sax, E.
2023. 2023 IEEE 97th Vehicular Technology Conference (VTC2023-Spring), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/VTC2023-Spring57618.2023.10199518
Holistic Graph-based Motion Prediction
Grimm, D.; Schörner, P.; Dreßler, M.; Zöllner, J.-M.
2023. 2023 IEEE International Conference on Robotics and Automation (ICRA), 29th May - 02nd June 2023, London, 2965 – 2972, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICRA48891.2023.10161468
Wind parameter determination at various distances using a sensor based on Particle Tracking Velocimetry
Fan, L. T.; Kuhn, L.; Stork, W.
2023. 2023 Opto-Electronics and Communications Conference (OECC), 1–3, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/OECC56963.2023.10209721
A Hardware-Centric Approach to Increase and Prune Regular Activation Sparsity in CNNs
Hotfilter, T.; Höfer, J.; Kreß, F.; Kempf, F.; Kraft, L.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/AICAS57966.2023.10168566
Ontology-Based Service Composition for Interoperable and Modular Medical Devices
Puder, A.; Schindewolf, M.; Sax, E.
2023. 2023 IEEE 36th International Symposium on Computer-Based Medical Systems (CBMS), 791 – 797, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CBMS58004.2023.00321
Conceptual measurement of individual pitches during the stent production
Haas, B.; Sax, E.
2023. Proceedings of 17th International Conference on Computer Graphics, Visualization, Computer Vision and Image Processing
Design and Implementation of Staggered-SAR Azimuth-Processing
Marten, J. C.; Younis, M.; Krieger, G.; Pfau, J.; Unger, K.; Becker, J.
2023. 24th International Radar Symposium (IRS), Berlin, Germany, 24-26 May 2023, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/IRS57608.2023.10172405
A Unified SoC Lab Course: Combined Teaching of Mixed Signal Aspects, System Integration, Software Development and Documentation
Pfau, J.; Leys, R.; Neu, M.; Serdyuk, A.; Peric, I.; Becker, J.
2023. 2023 IEEE International Symposium on Circuits and Systems (ISCAS), 5 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISCAS46773.2023.10181679
LETSCOPE: Lifecycle Extensions Through Software-Defined Predictive Control of Power Electronics
Chu, A.; Hermann, C. M.; Silz, J.; Pfau, J.; Barón, K. M.; Anantharajaiah, N.; Schmidt, P.; Hotfilter, T.; Xie, X.; Becker, J.; Kallfass, I.; Roth-Stielow, J.; Stork, W.
2023. IEEE EUROCON 2023 - 20th International Conference on Smart Technologies, 665–670, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/EUROCON56442.2023.10199076
Multilevel Security Model for Secure Information Flow Inside Software Components Employing Automated Code Generation
Stammler, M.; Hamann, M.; Becker, J.
2023. 2023 12th Mediterranean Conference on Embedded Computing (MECO), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO58584.2023.10154914
Machine-Learning-Based Fault Detection in Electric Vehicle Powertrains Using a Digital Twin
Dettinger, F.; Jazdi, N.; Weyrich, M.; Brandl, L.; Reuss, H.-C.; Pecha, U.; Parspour, N.; Li, S.; Frey, M.; Gauterin, F.; Nägele, A.-T.; Lüntzel, V. A.; Sax, E.
2023. Internationales Stuttgarter Symposium Automobil- und Motorentechnik 2023, SAE International. doi:10.4271/2023-01-1214
ReLoDAQ: Resource-Efficient, Low-Overhead 200 Gbits −1 Data Acquisition System for 6G Prototyping
Karle, C.; Neu, M.; Pfau, J.; Sperling, J.; Becker, J.
2023. 2023 IEEE 31st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 08-11 May 2023, Marina Del Rey, CA, USA, 209, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FCCM57271.2023.00037
Ontology for Vehicle Function Distribution
Ruhnau, J.; Sommer, M.; Henle, J.; Walz, A.; Becker, S.; Sax, E.
2023. 2023 IEEE International Systems Conference (SysCon), Vancouver, Canada, 17-20 April 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysCon53073.2023.10131097
Leveraging Adaptive Redundancy in Multi-Core Processors for Realizing Adaptive Fault Tolerance in Mixed-Criticality Systems
Kempf, F.; Becker, J.
2023. 2023 12th Mediterranean Conference on Embedded Computing (MECO), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO58584.2023.10154986
Adaptive Cybersecurity Monitoring for Resilient Vehicular Architectures
Grimm, D.; Zink, M.; Schindewolf, M.; Sax, E.
2023. 2023 IEEE Vehicular Networking Conference (VNC), 41–48, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/VNC57357.2023.10136321
Fleet data used for self-learning functions in commercial vehicles
Sommer, M.; Rösch, T.; Sax, E.
2023. 17th International Conference Commercial Vehicles 2023, 81–91, VDI Verlag
SiFI-AI: A Fast and Flexible RTL Fault Simulation Framework Tailored for AI Models and Accelerators
Hoefer, J.; Kempf, F.; Hotfilter, T.; Kreß, F.; Harbaum, T.; Becker, J.
2023. Proceedings of the Great Lakes Symposium on VLSI 2023, 287–292, Association for Computing Machinery (ACM). doi:10.1145/3583781.3590226
Highly transparent wave front printed volume holograms realized by amplitude-modulated incoherent pre-illumination
Wilm, T.; Wieland, M.; Fiess, R.; Stork, W.
2023. Proc. SPIE 12445, Practical Holography XXXVII: Displays, Materials, and Applications, 124450S, SPIE. doi:10.1117/12.2647361
Model-Driven Dementia Prevention and Intervention Platform
Schinle, M.; Dietrich, M.; Stock, S.; Gerdes, M.; Stork, W.
2023. Caring is Sharing. Ed.: M. Hägglund, 937–941, IOS Press. doi:10.3233/SHTI230313
Policy-Based Task Allocation at Runtime for a Self-Adaptive Edge Computing Infrastructure
Betancourt, V. P.; Kirschner, M.; Kreutzer, M.; Becker, J.
2023. 2023 IEEE 15th International Symposium on Autonomous Decentralized System (ISADS), 8 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISADS56919.2023.10092022
Non-Intrusive Runtime Monitoring for Manycore Prototypes
Lesniak, F.; Anantharajaiah, N.; Harbaum, T.; Becker, J.
2023. RAPIDO ’23: Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, 31–38, Association for Computing Machinery (ACM). doi:10.1145/3579170.3579262
Fleet in the Loop: An Open Source approach for design and test of resilient vehicle architectures
Grimm, D.; Schindewolf, M.; Sax, E.
2023. 2023 IEEE 15th International Symposium on Autonomous Decentralized System (ISADS), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISADS56919.2023.10092108
Continuous Safety Assessment of Updated Supervised Learning Models in Shadow Mode
Guissouma, H.; Zink, M.; Sax, E.
2023. IEEE 20th International Conference on Software Architecture Companion (ICSA-C 2023), 301–308, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICSA-C57050.2023.00069
20th Workshop on Automotive Software Engineering (ASE’23)
Kugele, S.; Grunske, L.
2023. R. Hebig & M. Tichy (Eds.), Software Engineering 2023 Ed.: G. Engels, 137–138, Gesellschaft für Informatik (GI)
An Analytical Model of Configurable Systolic Arrays to find the Best-Fitting Accelerator for a given DNN Workload
Hotfilter, T.; Schmidt, P.; Höfer, J.; Kreß, F.; Harbaum, T.; Becker, J.
2023. DroneSE and RAPIDO: System Engineering for constrained embedded systems, 73–78, Association for Computing Machinery (ACM). doi:10.1145/3579170.3579258
Hardware Support for Predictable Resource Sharing in Virtualized Heterogeneous Multicores
Sandmann, T.; Becker, J.
2023. 2022 25th Euromicro Conference on Digital System Design (DSD), 189–196, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD57027.2022.00034
Adaptive Exploration Based Routing for Spatial Isolation in Mixed Criticality Systems
Anantharajaiah, N.; Becker, J.
2023. 2022 25th Euromicro Conference on Digital System Design (DSD), 174–180, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD57027.2022.00032
A holistic hardware-software approach for fault-aware embedded systems
Kempf, F.; Kühbacher, C.; Mellwig, C.; Altmeyer, S.; Ungerer, T.; Becker, J.
2023. 2022 25th Euromicro Conference on Digital System Design (DSD), 704–711, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD57027.2022.00099
A viewpoint-based evaluation method for future Automotive Architectures
Henle, J.; Adolph, L.; Hohl, C. P.; Sax, E.
2023. 2022 IEEE International Symposium on Systems Engineering (ISSE), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE54508.2022.10005555
End-to-End Deep Learning for Stress Recognition Using Remote Photoplethysmography
Zhou, K.; Schinle, M.; Weimar, S.; Gerdes, M.; Stock, S.; Stork, W.
2023. 2022 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), 1435–1442, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BIBM55620.2022.9995577
Automated Search for Deep Neural Network Inference Partitioning on Embedded FPGA
Kreß, F.; Hoefer, J.; Hotfilter, T.; Walter, I.; El Annabi, E. M.; Harbaum, T.; Becker, J.
2023. Machine Learning and Principles and Practice of Knowledge Discovery in Databases. Hrsg.: I. Koprinska. Pt. 1, 557–568, Springer International Publishing. doi:10.1007/978-3-031-23618-1_37
Conference Proceedings
AI, Robotics, and Clinical Research for Innovative Dementia Interventions: A Japanese-German Collaboration
Otake, M.; Kilimann, I.; Schinle, M.; Kumagai, K.; Weimar, S.
2023. (S. Stock, Ed.), KITopen
Reports/Preprints
EfficientPPS: Part-aware Panoptic Segmentation of Transparent Objects for Robotic Manipulation
Alt, B.; Nguyen, M. D.; Hermann, A.; Katic, D.; Jäkel, R.; Dillmann, R.; Sax, E.
2023. doi:10.48550/arXiv.2312.13906
Presentations
Tutorial: Simulation-based development of networked avionics systems using the XANDAR toolchain
Dörr, T.; Schade, F.; Ahlbrecht, A.
2023, June 7. 4th Summer School on Cyber-Physical Systems and Internet-of-Things (CPS&IoT 2023), Budva, Montenegro, June 6–10, 2023
Navigating challenges in digital dementia intervention: A Look at BCI potential
Stock, S.; Schinle, M.
2023, June 21. 2nd International Forum on Neural Engineering & Brain Technologies (2023), Berlin, Germany, June 20–21, 2023
2022
Book Chapters
Augmentation von Kameradaten mit Generative Adversarial Networks (GANs) zur Absicherung automatisierter Fahrfunktionen
Rigoll, P.; Petersen, P.; Ries, L.; Langner, J.; Sax, E.
2022. Fahrerassistenzsysteme und automatisiertes Fahren, 41–48, VDI Verlag. doi:10.51202/9783181023945-41
U-Shift II Vision and Project Goals
Münster, M.; Brost, M.; Siefkes, T.; Kopp, G.; Beeh, E.; Rinderknecht, F.; Schmid, S.; Osebek, M.; Scheibe, S.; Hahn, R.; Heyner, D.; Klein, P.; Piazza, G.; Ulrich, C.; Kraft, W.; Philipps, F.; Köhler, L.; Buchholz, M.; Wodtko, T.; Dietmayer, K.; et al.
2022. 22. Internationales Stuttgarter Symposium – Automobil- und Motorentechnik. Hrsg.: M. Bargende, 18–31, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-37011-4_3
Certificate-based Safety Concept for Future Dynamic Automotive Electric/Electronic Architectures
Krauter, F.; Schindewolf, M.; Sax, E.
2022. 22. Internationales Stuttgarter Symposium – Automobil- und Motorentechnik. Hrsg.: M. Bargende, 487–500, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-37009-1_34
Differential Correlation Approach for Multivariate Time Series Feature Selection
Pistorius, F.; Baumann, D.; Sax, E.
2022. Proceedings of the Future Technologies Conference (FTC) 2021, Volume 1. Hrsg.: Kohei Arai, 928–942, Springer International Publishing. doi:10.1007/978-3-030-89906-6_59
PhD Theses
Methoden und Werkzeuge für eine datengetriebene Entwicklung digitaler Gesundheitsanwendungen. PhD dissertation
Schinle, M.
2022, September 8. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000150345
Real-Time Trace Decoding and Monitoring for Safety and Security in Embedded Systems. PhD dissertation
Wankler Hoppe, A.
2022, July 27. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000148789
Low-Latency Track Triggering in High-Energy Physics. PhD dissertation
Ardila Pérez, L. E.
2022, May 11. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000145595
LiDAR-based Weather Detection: Automotive LiDAR Sensors in Adverse Weather Conditions. PhD dissertation
Heinzler, R. K.
2022, March 31. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000143917
An Objectivation of Visual Perception using Virtual Reality, Brain-Computer Interfaces and Deep Learning. PhD dissertation
Stock, S.
2022, February 1. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000142511/v3
Journal Articles
Big Data Analytics for a seamless Design Flow of reliable Automated Driving Functions
Kechler, N.; Sax, E.
2022. VDI Berichte, 2022 (2405), 257 – 264
A Mixed E/E-Architecture for Interconnected Operating Tables Inspired by the Automotive Industry
Puder, A.; Vetter, A.; Rumez, M.; Henle, J.; Sax, E.
2022. Journal of Medical Robotics Research, 07 (04), Art.-Nr.: 2241008. doi:10.1142/S2424905X22410082
The Event Timing Finder for the Central Drift Chamber Level-1 Trigger at the Belle II experiment
Sue, Y.; Hanwook, B.; Iijima, T.; Iwasaki, Y.; Koga, T.; Lai, Y.-T.; Nakazawa, H.; Unger, K. L.
2022. Journal of Physics: Conference Series, 2374, Art.-Nr.: 012103. doi:10.1088/1742-6596/2374/1/012103
The impact of formulation of cost function in Task Mapping Problem on NoCs using bio-inspired based-metaheuristics
de Barros, J. B.; Anantharajaiah, N.; Ayala-Rincón, M.; Llanos, C. H.; Becker, J.
2022. Microprocessors and Microsystems, 94, Art.-Nr.: 104668. doi:10.1016/j.micpro.2022.104668
The Test Bench for BM@N Forward Silicon Tracker Front-End Electronics and Silicon Modules
Topko, B.; Topko, Y.; Khabarov, S.; Zamyatin, N.; Zubarev, E.
2022. IEEE Transactions on Nuclear Science, 69 (1), 98–104. doi:10.1109/TNS.2021.3136944
Design of the Front-End Electronics for Silicon Beam Profilometer Prototype for Light Ions at the BM@N Experiment
Topko, Y.; Khabarov, S.; Topko, B.; Kovalev, Y.; Zamyatin, N.; Tarasov, O.; Zubarev, E.
2022. IEEE Transactions on Nuclear Science, 69 (3), 634–638. doi:10.1109/TNS.2022.3150753
SoC-FPGA based data acquisition system for position sensitive silicon detectors
Topko, Y.; Topko, B.; Khabarov, S.; Zamyatin, N.
2022. Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, 1033, Artkl.Nr.: 166680. doi:10.1016/j.nima.2022.166680
Generic Patterns for Intrusion Detection Systems in Service-Oriented Automotive and Medical Architectures
Puder, A.; Rumez, M.; Grimm, D.; Sax, E.
2022. Journal of cybersecurity and privacy, 2 (3), 731–749. doi:10.3390/jcp2030037
Digital Health Apps in the Context of Dementia: Questionnaire Study to Assess the Likelihood of Use Among Physicians
Schinle, M.; Erler, C.; Kaliciak, M.; Milde, C.; Stock, S.; Gerdes, M.; Stork, W.
2022. JMIR Formative Research, 6 (6), Art.-Nr.: e35961. doi:10.2196/35961
Gene Expression Profiling of Mono- and Co-Culture Models of the Respiratory Tract Exposed to Crystalline Quartz under Submerged and Air-Liquid Interface Conditions
Friesen, A.; Fritsch-Decker, S.; Hufnagel, M.; Mülhopt, S.; Stapf, D.; Weiss, C.; Hartwig, A.
2022. International Journal of Molecular Sciences, 23 (14), 7773. doi:10.3390/ijms23147773
Prototype design of a timing and fast control system in the CBM experiment
Sidorenko, V.; Fröhlich, I.; Müller, W. F. J.; Emschermann, D.; Bähr, S.; Sturm, C.; Becker, J.
2022. Journal of Instrumentation, 17, Art.-Nr.: C05008. doi:10.1088/1748-0221/17/05/C05008
V-FPGAs: Increasing Performance with Manual Placement, Timing Extraction and Extended Timing Modeling
Pfau, J.; Zaki, P. W.; Becker, J.
2022. Journal of Signal Processing Systems, 94, 865–882. doi:10.1007/s11265-022-01786-z
Lifecycle Management of Automotive Safety-Critical Over the Air Updates: A Systems Approach
Guissouma, H.; Hohl, C. P.; Lesniak, F.; Schindewolf, M.; Becker, J.; Sax, E.
2022. IEEE Access, 10, 57696–57717. doi:10.1109/ACCESS.2022.3176879
Dynamic Partial Reconfiguration for Adaptive Sensor Integration in Highly Flexible Manufacturing Systems
Schade, F.; Karle, C.; Mühlbeier, E.; Gönnheimer, P.; Fleischer, J.; Becker, J.
2022. Procedia CIRP, 107, 1311–1316. doi:10.1016/j.procir.2022.05.150
Applications and Techniques for Fast Machine Learning in Science
Deiana, A. M.; Tran, N.; Agar, J.; Blott, M.; Di Guglielmo, G.; Duarte, J.; Harris, P.; Hauck, S.; Liu, M.; Neubauer, M. S.; Ngadiuba, J.; Ogrenci-Memik, S.; Pierini, M.; Aarrestad, T.; Bähr, S.; Becker, J.; Berthold, A.-S.; Bonventre, R. J.; Müller Bravo, T. E.; Diefenthaler, M.; et al.
2022. Frontiers in Big Data, 5, Art.Nr. 787421. doi:10.3389/fdata.2022.787421
RGB Image- and Lidar-Based 3D Object Detection Under Multiple Lighting Scenarios
Chen, W.; Tian, W.; Xie, X.; Stork, W.
2022. Automotive Innovation, 5 (3), 251–259. doi:10.1007/s42154-022-00176-2
Anti-Inflammatory Characteristics of Local Anesthetics: Inhibition of TNF-α Secretion of Lipopolysaccharide-Stimulated Leucocytes in Human Blood Samples
Weinschenk, S.; Weiss, C.; Benrath, J.; Baehr, V. von; Strowitzki, T.; Feißt, M.
2022. International Journal of Molecular Sciences, 23 (6), Article no: 3283. doi:10.3390/ijms23063283
Progress on HTS undulator prototype coils for compact FEL designs
Richter, S. C.; Ballarino, A.; Schoerling, D.; Nes, T.; Bernhard, A.; Mueller, A.
2022. IEEE Transactions on Applied Superconductivity, 32 (4), 4100305. doi:10.1109/TASC.2022.3150288
Combined analysis of Belle and Belle II data to determine the CKM angle ϕ3 using B+ → D(K0S h+h−)h+ decays
Belle 2 Collaboration; Belle Collaboration; Abudinén, F.; Aggarwal, L.; Ahmed, H.; Aihara, H.; Akopov, N.; Al Said, S.; Aloisio, A.; Anh Ky, N.; Asner, D. M.; Atmacan, H.; Aushev, V.; Ayad, R.; Babu, V.; Bacher, S.; Baehr, S.; Bahinipati, S.; Bambade, P.; Banerjee, S.; et al.
2022. Journal of high energy physics, 2022 (2), Artikel-Nr.: 63. doi:10.1007/JHEP02(2022)063
A Taxonomy for Quality in Simulation-based Development and Testing of Automated Driving Systems
Schütt, B.; Steimle, M.; Kramer, B.; Behnecke, D.; Sax, E.
2022. IEEE access, 10, 18631–18644. doi:10.1109/ACCESS.2022.3149542
Can Marketization Improve Sustainable Development in Northeastern China? Evidence from the Perspective of Coupling Coordination Degree Model
Tang, S.; Zhu, Y.; Wang, F.; Shen, N.
2022. Discrete dynamics in nature and society, 2022, Art.-Nr.: 7419430. doi:10.1155/2022/7419430
Intertemporal defaulted bond recoveries prediction via machine learning
Nazemi, A.; Baumann, F.; Fabozzi, F. J.
2022. European Journal of Operational Research, 297 (3), 1162–1177. doi:10.1016/j.ejor.2021.06.047
A modular microfluidic bioreactor to investigate plant cell–cell interactions
Finkbeiner, T.; Manz, C.; Raorane, M. L.; Metzger, C.; Schmidt-Speicher, L.; Shen, N.; Ahrens, R.; Maisch, J.; Nick, P.; Guber, A. E.
2022. Protoplasma, 259 (1), 173–186. doi:10.1007/s00709-021-01650-0
Conference Papers
Reconfiguring an RFET Based Differential Amplifier
Reuter, M.; Kramer, A.; Krauss, T.; Pfau, J.; Becker, J.; Hofmann, K.
2022. 2022 IEEE 40th Central America and Panama Convention (CONCAPAN), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CONCAPAN48024.2022.9997726
Toward a Resilient Automotive Service-Oriented Architecture by using Dynamic Orchestration
Schindewolf, M.; Grimm, D.; Lingor, C.; Sax, E.
2022. 2022 IEEE 1st International Conference on Cognitive Mobility (CogMob), 147–154, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CogMob55547.2022.10118016
Scalable Data Set Distillation for the Development of Automated Driving Functions
Rigoll, P.; Ries, L.; Sax, E.
2022. 2022 IEEE 25th International Conference on Intelligent Transportation Systems (ITSC), 3139–3145, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC55140.2022.9921868
Towards a Data Engineering Process in Data-Driven Systems Engineering
Petersen, P.; Stage, H.; Langner, J.; Ries, L.; Rigoll, P.; Philipp Hohl, C.; Sax, E.
2022. 2022 IEEE International Symposium on Systems Engineering (ISSE), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE54508.2022.10005441
PA-DCGAN: Efficient Spectrum Generation using Physics-Aware Deep Convolutional Generative Adversarial Network with Latent Physical Characteristics and Constraints
Xie, X.; Gao, Y.; Stork, W.
2022. 2022 IEEE Symposium Series on Computational Intelligence (SSCI), Singapore, Singapore, 04-07 December 2022, 1164–1171, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SSCI51031.2022.10022246
Fingerprint of a Traffic Scene: an Approach for a Generic and Independent Scene Assessment
Schütt, B.; Zipfl, M.; Zollner, J. M.; Sax, E.
2022. 2022 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME55909.2022.9987969
Pitch length measurement of stents using dynamically cropped images
Haas, B.; Erlinghagen, L.; Sax, E.
2022. 2022 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME55909.2022.9988454
Efficient Comprehensive Element Identification in Large Scale Spectral Analysis with Interpretable Dimension Reduction
Xie, X.; Stork, W.
2022. IEEE International Conference on Big Data (Big Data), Osaka, Japan, 17th-20th December 2022, 5623–5631, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigData55660.2022.10020993
Enabling Real-Time Low-Cost Spectral Analysis on Edge Devices with Deep Neural Networks: a Robust Hybrid Approach
Xie, X.; Chen, T.; Stork, W.
2022. IEEE International Conference on Big Data (Big Data), Osaka, Japan, 17th-20th December 2022, 2431–2436, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigData55660.2022.10020541
Minimal Cost Device Calibration in Spectral Analysis via Meta Learning: Towards Efficient Deployment of Deep Neural Networks in Industry
Xie, X.; Jin, M.; Chu, A.; Stork, W.
2022. IEEE International Conference on Big Data (Big Data), Osaka, Japan, 17th-20th December 2022, 2123–2132, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigData55660.2022.10020366
LoRa Mesh Network for Overhead Transmission Line Monitoring
Gemeda, D. B.; Lehner, M.; Rauh, P.; Stork, W.
2022. 2022 International Conference on Information and Communication Technology for Development for Africa (ICT4DA), 121–126, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICT4DA56482.2022.9971324
Efficient Network Pruning via Feature Selection
Xie, X.; Chen, T.; Chu, A.; Stork, W.
2022. 2022 26th International Conference on Pattern Recognition (ICPR), 1843–1850, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICPR56361.2022.9956190
Robust Parameter Estimation and Tracking through Lyapunov-based Reinforcement Learning
Rudolf, T.; Ransiek, J.; Schwab, S.; Hohmann, S.
2022. IECON 2022 – 48th Annual Conference of the IEEE Industrial Electronics Society, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IECON49645.2022.9968893
A Method for Evaluation and Optimization of Automotive Camera Systems based on Simulated Raw Sensor Data
Roos, S.; Brühl, T.; Pfeffer, M.; Ewecker, L.; Stork, W.
2022. 2022 IEEE International Conference on Systems, Man, and Cybernetics (SMC), 1334–1341, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SMC53654.2022.9945613
Safety by Construction: Pattern-Based Application of Safety Mechanisms in XANDAR
Dörr, T.; Schade, F.; Masing, L.; Becker, J.; Keramidas, G.; Antonopoulos, C. P.; Mavropoulos, M.; Kelefouras, V.; Voros, N.
2022. 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Nicosia, Cyprus, 04-06 July 2022, 369–370, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISVLSI54635.2022.00081
A Behavior Specification and Simulation Methodology for Embedded Real-Time Software
Dörr, T.; Schade, F.; Ahlbrecht, A.; Zaeske, W.; Masing, L.; Durak, U.; Becker, J.
2022. 2022 IEEE/ACM 26th International Symposium on Distributed Simulation and Real Time Applications (DS-RT), 151–159, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DS-RT55542.2022.9932069
Multi-Model Machine Learning based Industrial Vision Framework for Assembly Part Quality Control
Schwab, M.; Madeline-Derou, C.; Klarmann, S.; Thielen, N.; Meier, S.; Franke, J.; Chintanippu, S.; Stork, W.
2022. 2022 IEEE 27th International Conference on Emerging Technologies and Factory Automation (ETFA), 1–4, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ETFA52439.2022.9921587
Architecture platforms for future vehicles: a comparison of ROS2 and Adaptive AUTOSAR
Henle, J.; Stoffel, M.; Schindewolf, M.; Nagele, A.-T.; Sax, E.
2022. 2022 IEEE 25th International Conference on Intelligent Transportation Systems (ITSC), 3095–3102, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC55140.2022.9921894
Using Trace Data for Run-Time Optimization of Parallel Execution in Real-Time Multi-Core Systems
Schade, F.; Sandmann, T.; Becker, J.; Theiling, H.
2022. 2022 IEEE 28th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Taipei, Taiwan, 23-25 August 2022, 228–234, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RTCSA55878.2022.00031
Runtime Adaptive Cache Checkpointing for RISC Multi-Core Processors
Kempf, F.; Höfer, J.; Kreß, F.; Hotfilter, T.; Harbaum, T.; Becker, J.
2022. Conference Proceedings: 2022 IEEE 35th International System-on-Chip Conference (SOCC) Ed.: S. Sezer, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC56010.2022.9908110
Hypervisor-Based Target Deployment Strategies for Time Predictability in Model-Based Development
Schade, F.; Dörr, T.; Becker, J.
2022. Conference Proceedings: 2022 IEEE 35th International System-on-Chip Conference (SOCC) Ed.: S. Sezer, 1–2, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC56010.2022.9908090
Comparison of Artificial and Spiking Neural Networks for Ambient-Assisted Living
Nitzsche, S.; Pachideh, B.; Neher, M.; Kreutzer, M.; Link, N.; Theurer, L.; Becker, J.
2022. Conference Proceedings: 2022 Smart Systems Integration (SSI), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SSI56489.2022.9901412
Data Movement Reduction for DNN Accelerators: Enabling Dynamic Quantization Through an eFPGA
Hotfilter, T.; Kreß, F.; Kempf, F.; Becker, J.; Baili, I.
2022. 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Nicosia, Cyprus, 04-06 July 2022, 371–372. doi:10.1109/ISVLSI54635.2022.00082
Security Assessment Prospects as Part of Vehicle Regulations
Gierl, M.; Kriesten, R.; Sax, E.
2022. Computer Safety, Reliability, and Security. SAFECOMP 2022 Workshops – DECSoS, DepDevOps, SASSUR, SENSEI, USDAI, and WAISE Munich, Germany, September 6–9, 2022, Proceedings. Ed.: M. Trapp, 97–109, Springer International Publishing. doi:10.1007/978-3-031-14862-0_6
Building a Test Bed for the Periodical Technical Inspection of Sensors Perceiving the Environment in Autonomous Vehicles
Müller, F.; Nenninger, P.; Sax, E.
2022. Computer Safety, Reliability, and Security. SAFECOMP 2022 Workshops – DECSoS, DepDevOps, SASSUR, SENSEI, USDAI, and WAISE Munich, Germany, September 6–9, 2022, Proceedings. Ed.: M. Trapp, 59–70, Springer International Publishing. doi:10.1007/978-3-031-14862-0_3
A Method for Designing an Embedded Human Activity Recognition System for a Kitchen Use Case Based on Machine Learning
Schroth, M.; Ilg, A.; Kohout, L.; Stork, W.
2022. 2022 IEEE International Conference on Industry 4.0, Artificial Intelligence, and Communications Technology (IAICT), 249–254, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IAICT55358.2022.9887452
Adaptive application development and integration process for modern automotive software
Rösch, T.; Sommer, M.; Sax, E.
2022. ICCTA ’22: Proceedings of the 2022 8th International Conference on Computer Technology Applications, 85–90, Association for Computing Machinery (ACM). doi:10.1145/3543712.3543718
XANDAR: A holistic Cybersecurity Engineering Process for Safety-critical and Cyber-physical Systems
Siddiqui, F.; Khan, R.; Sezer, S.; McLaughlin, K.; Masing, L.; Dörr, T.; Schade, F.; Becker, J.; Ahlbrecht, A.; Zaeske, W.; Durak, U.; Adler, N.; Sailer, A.; Weber, R.; Wilhelm, T.; Nemeth, G.; Nemeth, G.; Morales, V.; Gomez, P.; Keramidas, G.; et al.
2022. 2022 IEEE 95th Vehicular Technology Conference: (VTC2022-Spring): Proceedings ; 19–22 June 2022, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/VTC2022-Spring54318.2022.9860859
Effects of Medical Clothing on Person Re-Identification Algorithms
Kohout, L.; Scheerer, J.; Zimmermann, C.; Stork, W.
2022. MeMeA 2022 Conference Proceedings, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MeMeA54994.2022.9856473
Evaluation of a new method for continuous blood pressure measurement in the ear
Diehl, M.; Zeilfelder, J.; Zimmermann, C.; Stork, W.
2022. MeMeA 2022 Conference Proceedings, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MeMeA54994.2022.9856419
Large-Scale Spectral Analysis for Element Quantification using Deep Neural Networks
Xie, X.; Stork, W.
2022. 2022 International Joint Conference on Neural Networks (IJCNN), Padua, Italy, 18-23 July 2022, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IJCNN55064.2022.9891970
Hardware-aware Partitioning of Convolutional Neural Network Inference for Embedded AI Applications
Kreß, F.; Hoefer, J.; Hotfilter, T.; Walter, I.; Sidorenko, V.; Harbaum, T.; Becker, J.
2022. 18th International Conference on Distributed Computing in Sensor Systems (DCOSS), 133–140, IEEEXplore. doi:10.1109/DCOSS54816.2022.00034
Autonomous Obstacle Avoidance for UAV based on Point Cloud
Shen, N.; Cao, J.; Zipp, M.; Stork, W.
2022. International Conference on Unmanned Aircraft Systems (ICUAS), Dubrovnik, Croatia, 21-24 June 2022, 1580–1585, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICUAS54217.2022.9836089
UAV Flight Control Algorithm based on Detection and Pose Estimation of the Mounting Position for Weather Station on Transmission Tower using Depth Camera
Shen, N.; Chen, W.; Stork, W.; Tang, S.
2022. IEEE 17th International Conference on Control & Automation (ICCA), Naples, Italy, 27-30 June 2022, 522–528, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCA54724.2022.9831946
A Mixed E/E-Architecture for Interconnected Operating Tables Inspired by the Automotive Industry
Puder, A.; Vetter, A.; Rumez, M.; Henle, J.; Sax, E.
2022. 2022 International Symposium on Medical Robotics (ISMR), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISMR48347.2022.9807578
Hardware-aware Workload Distribution for AI-based Online Handwriting Recognition in a Sensor Pen
Kreß, F.; Serdyuk, A.; Hotfilter, T.; Höfer, J.; Harbaum, T.; Becker, J.; Hamann, T.
2022. 2022 11th Mediterranean Conference on Embedded Computing (MECO). Ed.: IEEE, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO55406.2022.9797131
An Efficient Strategy for Testing ADAS on HiL Test Systems with Parallel Condition-based Assessments
Steinhauser, C.; Boncler, M.; Langner, J.; Strebel, S.; Sax, E.
2022. 8th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2022) : Proceedings. Ed.: J. Ploeg. Vol. 1, 391–399, SciTePress. doi:10.5220/0011081400003191
Towards a Scenario Database from Recorded Driving Data with Regular Expressions for Scenario Detection
Elspas, P.; Lindner, J.; Brosowsky, M.; Bach, J.; Sax, E.
2022. 8th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2022) : Proceedings. Ed.: J. Ploeg. Vol. 1, 400–409, SciTePress. doi:10.5220/0011085200003191
Automation Potentials in Public Transport based on a Depot Model
Brenner, N.; Rossel, N.; Sax, E.
2022. 8th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2022) : Proceedings. Ed.: J. Ploeg. Vol. 1, 216–225, SciTePress. doi:10.5220/0010998200003191
A Data-driven Energy Estimation based on the Mixture of Experts Method for Battery Electric Vehicles
Petersen, P.; Rudolf, T.; Sax, E.
2022. 8th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2022) : Proceedings. Ed.: J. Ploeg. Vol. 1, 384–390, SciTePress. doi:10.5220/0011081000003191
An Application of Scenario Exploration to Find New Scenarios for the Development and Testing of Automated Driving Systems in Urban Scenarios
Schütt, B.; Heinrich, M.; Marahrens, S.; Zöllner, J.; Sax, E.
2022. 8th International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2022) : Proceedings. Ed.: J. Ploeg. Vol. 1, 338–345, SciTePress. doi:10.5220/0011064600003191
A hardware/software co-design approach to prototype 6G mobile applications inside the GNU Radio SDR Ecosystem using FPGA hardware accelerators
Karle, C. M.; Kreutzer, M.; Pfau, J.; Becker, J.
2022. HEART2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba Japan, June 9 - 10, 2022, 33–41, Association for Computing Machinery (ACM). doi:10.1145/3535044.3535049
AnaCoNGA: Analytical HW-CNN Co-Design Using Nested Genetic Algorithms
Fasfous, N.; Vemparala, M. R.; Frickenstein, A.; Valpreda, E.; Salihu, D.; Höfer, J.; Singh, A.; Nagaraja, N.-S.; Voegel, H.-J.; Vu Doan, N. A.; Martina, M.; Becker, J.; Stechele, W.
2022. Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE 2022). Ed.: C. Bolchini, 238–243, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE54114.2022.9774574
Towards an Ontology That Reconciles the Operational Design Domain, Scenario-based Testing, and Automated Vehicle Architectures
Erz, J.; Schutt, B.; Braun, T.; Guissouma, H.; Sax, E.
2022. 2022 IEEE International Systems Conference (SysCon): 25–28 April 2022, Montreal, QC, Canada, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysCon53536.2022.9773840
XANDAR: Exploiting the X-by-Construction Paradigm in Model-based Development of Safety-critical Systems
Masing, L.; Dörr, T.; Schade, F.; Becker, J.; Keramidas, G.; Antonopoulos, C. P.; Mavropoulos, M.; Tiganourias, E.; Kelefouras, V.; Antonopoulos, K.; Voros, N.; Durak, U.; Ahlbrecht, A.; Zaeske, W.; Panagiotou, C.; Karadimas, D.; Adler, N.; Sailer, A.; Weber, R.; Wilhelm, T.; et al.
2022. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 14-23 March 2022, 814–818, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE54114.2022.9774534
Towards Reconfigurable Accelerators in HPC: Designing a Multipurpose eFPGA Tile for Heterogeneous SoCs
Hotfilter, T.; Kreß, F.; Kempf, F.; Becker, J.; Haro, J. M. De; Jiménez-González, D.; Moretó, M.; Álvarez, C.; Labarta, J.; Baili, I.
2022. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 14-23 March 2022, 628–631, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE54114.2022.9774716
Model-based resource analysis and synthesis of service-oriented automotive software architectures
Kugele, S.; Obergfell, P.; Sax, E.
2022. Lecture Notes in Informatics (LNI), Proceedings: Fachtagung des GI-Fachbereichs Softwaretechnik, Software Engineering 2022 [Conference of the GI Software Engineering Section, Software Engineering 2022] ; 21–25 February 2022, online. Ed.: L. Grunske, 55–56, Gesellschaft für Informatik (GI)
PREUNN: Protocol Reverse Engineering using Neural Networks
Kiechle, V.; Börsig, M.; Nitzsche, S.; Baumgart, I.; Becker, J.
2022. Proceedings of the 8th International Conference on Information Systems Security and Privacy. Ed.: P. Mori. Vol. 1, 345–356, SciTePress. doi:10.5220/0010813500003120
Development of a self-learning automotive comfort function: an adaptive gesture control with few-shot-learning
Stang, M.; Stock, S.; Müller, S.; Sax, E.; Stork, W.
2022. 2022 International Conference on Connected Vehicle and Expo (ICCVE), 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCVE52871.2022.9742989
Use of Deep Learning Methods for People Counting in Public Transport
Baumann, D.; Sommer, M.; Schrempp, Y.; Sax, E.
2022. 2022 International Conference on Connected Vehicle and Expo (ICCVE), Lakeland, FL, March 7-9, 2022, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCVE52871.2022.9742924
Context-aware vehicle and fleet security combining a Knowledge Graph and an object-oriented model
Grimm, D.; Sax, E.
2022. 2022 International Conference on Connected Vehicle and Expo (ICCVE), 7th - 9th March 2022, Lakeland, FL, USA, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCVE52871.2022.9742971
A Comparison of Architecture Paradigms for Dynamic Reconfıgurable Automotive Networks
Schindewolf, M.; Stoll, H.; Guissouma, H.; Puder, A.; Sax, E.; Vetter, A.; Rumez, M.; Henle, J.
2022. International Conference on Connected Vehicle and Expo (ICCVE), Lakeland, FL, USA, 7-9 March 2022, 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCVE52871.2022.9742775
Extrinsic Calibration of UAV and Multi RGB-D Cameras with Non-overlapping by using an External Camera
Shen, N.; Wei, T.; Hu, H.; Stork, W.
2022. 2022 IEEE/SICE International Symposium on System Integration (SII 2022): January 9–12, 2022 (Virtual Conference), Narvik, Norway, 232–237, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SII52469.2022.9708758
Embedded Face Recognition for Personalized Services in the Assistive Robotics
Walter, I.; Ney, J.; Hotfilter, T.; Rybalkin, V.; Hoefer, J.; Wehn, N.; Becker, J.
2022. Machine Learning and Principles and Practice of Knowledge Discovery in Databases – International Workshops of ECML PKDD 2021, Virtual Event, September 13-17, 2021, Proceedings, Part I. Ed.: M. Kamp, 339–350, Springer International Publishing. doi:10.1007/978-3-030-93736-2_26
Reports/Preprints
Final Report Sino-German Industry 4.0 Factory Automation Platform
Albers, A.; Ovtcharova, J.; Becker, J.; Lanza, G.; Zhang, W.; Zhang, T.; Qiao, F.; Ma, Y.; Wang, J.; Wu, Z.; Ehrmann, C.; Gönnheimer, P.; Behrendt, M.; Mandel, C.; Stürmlinger, T.; Klippert, M.; Kimmig, A.; Schade, F.; Yang, S.; Heider, I.; et al.
2022. (J. Fleischer, Ed.), Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000143693
Presentations
METIS - A Holistic Medical Treatment Platform for Dementia Risk Factor Interventions
Stock, S. C.
2022, December 2. Japanese-German-Polish Workshop on AI Applications for Dementia - Machine Learning, Robotics and Health Neuroscience Approaches for Aging Societies (2022), Tokyo, Japan, December 2, 2022
AI for Health - From University to the Real World
Stock, S.
2022, October 28. 3rd Japanese-German-French AI symposium “AI for Planetary Challenges in the Anthropocene” (2022), Tokyo, Japan, October 27–28, 2022
2021
Book Chapters
Automated and networked city buses – Optimized, demand-oriented service through intelligent use of data
Rossel, N.; Sommer, M.; Sax, E.
2021. GmbH, VDI Wissensforum (Ed.), Commercial Vehicles 2021 : truck, bus, van, trailor : 16th International Conference, September 7 - 8, 2021, Linz, Austria, 215–228, VDI Verlag. doi:10.51202/9783181023808-215
Requirements of Automated Vehicles and Depots for the Initial Step of Automated Public Transport
Brenner, N.; Lauber, A.; Meier, C.; Reitmeier, W.; Sax, E.
2021. Commercial Vehicle Technology 2020/2021. Ed.: K. Berns, 15–26, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-29717-6_2
CAGEN - Context-Action Generation for Testing Self-learning Functions
Stang, M.; Marquez, M. G.; Sax, E.
2021. Human Interaction, Emerging Technologies and Future Applications IV – Proceedings of the 4th International Conference on Human Interaction and Emerging Technologies: Future Applications (IHIET – AI 2021), April 28-30, 2021, Strasbourg, France. Ed.: T. Ahram, 12–19, Springer International Publishing. doi:10.1007/978-3-030-74009-2_2
Acceptance of Smart Automated Comfort Functionalities in Vehicles
Guinea, M.; Stang, M.; Nitsche, I.; Sax, E.
2021. Human Interaction, Emerging Technologies and Future Applications IV – Proceedings of the 4th International Conference on Human Interaction and Emerging Technologies: Future Applications (IHIET – AI 2021), April 28-30, 2021, Strasbourg, France. Ed.: T. Ahram, 331–338, Springer International Publishing. doi:10.1007/978-3-030-74009-2_42
Object Detection and Semantic Segmentation for a Small Low-cost Vehicle
Stoll, H.; Sax, E.
2021. Commercial Vehicle Technology 2020/2021 – Proceedings of the 6th Commercial Vehicle Technology Symposium. Ed.: K. Berns, 485–498, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-29717-6_32
Vehicle Localization Using Infrastructure Sensing
Digel, H.; Gabb, M.; Erlinghagen, L.; Sax, E.
2021. Intelligent System Solutions for Auto Mobility and Beyond : Advanced Microsystems for Automotive Applications 2020. Ed: C. Zachäus, 3–13, Springer International Publishing. doi:10.1007/978-3-030-65871-7_1
PhD Theses
Trusted SoC Realization for Remote Dynamic IP Integration. PhD dissertation
Khan, N. M.
2021, December 16. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000140875
Die (re-)konfigurierbare Fahrzeugarchitektur. PhD dissertation
Stoll, H. F.
2021, August 10. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000136041
Untersuchung der Augensicherheit durch Multi-Pulsbestrahlung für LiDAR-Anwendungen. PhD dissertation
Lipp, S.
2021, July 27. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000135762
Entwurfsmethodik für hybride Software- und Systemarchitektur. PhD dissertation
Obergfell, P.
2021, July 8. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000134708
Journal Articles
Unperturbed inverse kinematics nucleon knockout measurements with a carbon beam
BM@N Collaboration; Patsyuk, M.; Kahlbow, J.; Laskaris, G.; Duer, M.; Lenivenko, V.; Segarra, E. P.; Atovullaev, T.; Johansson, G.; Aumann, T.; Corsi, A.; Hen, O.; Kapishin, M.; Panin, V.; Piasetzky, E.; Abraamyan, K.; Afanasiev, S.; Agakishiev, G.; Alekseev, P.; Atkin, E.; et al.
2021. Nature Physics, 17 (6), 693–699. doi:10.1038/s41567-021-01193-4
Wertstromkinematik – Produktionssysteme neu gedacht: Interdisziplinäres Forscherteam arbeitet an der Produktionstechnik der Zukunft (Teil 2)
Kimmig, A.; Schöck, M.; Mühlbeier, E.; Oexle, F.; Fleischer, J.; Bönsch, J.; Ovtcharova, J.; Hahn, J.; Grunwald, A.; Albers, A.; Rapp, S.; Hagenmeyer, V.; Scholz, S. G.; Schmidt, A.; Müller, T.; Becker, J.; Schade, F.; Beyerer, J.; Rehak, J.; Zwick, T.; et al.
2021. Zeitschrift für wirtschaftlichen Fabrikbetrieb, 116 (12), 935–939. doi:10.1515/zwf-2021-0207
Investigating real-time control-flow error detection in hardware: How fast can we detect errors and take action?
Hoppe, A.; Kastensmidt, F. L.; Becker, J.
2021. Microelectronics Reliability, 126, Art.-Nr.: 114264. doi:10.1016/j.microrel.2021.114264
Model-based configuration of access protection units for multicore processors in embedded systems
Dörr, T.; Sandmann, T.; Becker, J.
2021. Microprocessors and microsystems, 87, Article no: 104377. doi:10.1016/j.micpro.2021.104377
Achieving cost-efficient fail-operational behavior based on inherent redundancy at the system level
Dörr, T.; Sandmann, T.; Friederich, P.; Leitner, A.; Becker, J.
2021. Microprocessors and microsystems, 87, Aricle no: 104380. doi:10.1016/j.micpro.2021.104380
Evaluation of Innovative SSVEP Stimulation Patterns for Neuro-Ophthalmology
Stock, S.; Gerdes, M.; Schinle, M.; Veloso de Oliveira, J.; Hauptmann, L.; Martini, L.; Stork, W.
2021. Investigative Ophthalmology & Visual Science, 62 (8), 2391–2391
Feasibility studies of conserved charge fluctuations in Au-Au collisions with CBM
CBM Collaboration; Samanta, S.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2021. Nuclear physics <Amsterdam> / A, 1005, Art.-Nr.: 121896. doi:10.1016/j.nuclphysa.2020.121896
Fast Resource and Timing Aware Design Optimisation for High-Level Synthesis
Bannwart Perina, A.; Silitonga, A.; Becker, J.; Bonato, V.
2021. IEEE transactions on computers, 70 (12), 2070–2082. doi:10.1109/TC.2021.3112260
Testing silicone digit extensions as a way to suppress natural sensation to evaluate supplementary tactile feedback
Engels, L. F.; Cappello, L.; Fischer, A.; Cipriani, C.
2021. PLoS ONE, 16 (9 September), Art.-Nr.: e0256753. doi:10.1371/journal.pone.0256753
Model-based resource analysis and synthesis of service-oriented automotive software architectures
Kugele, S.; Obergfell, P.; Sax, E.
2021. Software and systems modeling, 20, 1945–1975. doi:10.1007/s10270-021-00896-9
Context-aware Security for Vehicles and Fleets: A Survey
Grimm, D.; Stang, M.; Sax, E.
2021. IEEE Access, 9, 101809–101846. doi:10.1109/ACCESS.2021.3097146
Entrepreneurship für Ingenieure - Konzeption einer innovativen interdisziplinären Lehrveranstaltung
Belgardt, S.; Doer, C.; Hohmann, S.; Karg, P.; Rothfuß, S.; Siebenrock, F.; Stork, W.; Terzidis, O.; Tittel, A.; Zwick, T.
2021. Handbuch Qualität in Studium, Lehre und Forschung, 76, 67–84
Die Belastbarkeit von Freileitungen durch Künstliche Intelligenz genauer prognostizieren
Molinar, G.
2021. Energiewirtschaftliche Tagesfragen, 71 (3), 55
Automatisierungspotentiale im ÖPNV
Sax, E.; Rossel, N.
2021. Verkehr und Technik (V+T), (1), 2. doi:10.37307/j.1868-7911.2021.01.02
When Data Fly: An Open Data Trading System in Vehicular Ad Hoc Networks
Lücking, M.; Kretzer, F.; Kannengießer, N.; Beigl, M.; Sunyaev, A.; Stork, W.
2021. Electronics, 10 (6), 654. doi:10.3390/electronics10060654
Utilizing and Extending Trusted Execution Environment in Heterogeneous SoCs for a Pay-Per-Device IP Licensing Scheme
Khan, N.; Nitzsche, S.; Lopez, A. G.; Becker, J.
2021. IEEE transactions on information forensics and security / Institute of Electrical and Electronics Engineers, 16, 2548–2563. doi:10.1109/TIFS.2021.3058777
CBM Collaboration
CBM Collaboration; Ablyazimov, T.; Adak, R. P.; Adler, A.; Agarwal, A.; Agarwal, K.; Ahammed, Z.; Ahmad, A.; Ahmad, F.; Ahmad, N.; Akindinov, A.; Akishin, P.; Akishina, V.; Al-Turany, M.; Alekseev, I.; Alexandrov, E.; Alexandrov, I.; Andronic, A.; Appelshäuser, H.; Argintaru, D.; et al.
2021. Nuclear physics <Amsterdam> / A, 1005, Article no: 122089. doi:10.1016/S0375-9474(20)30414-0
A Hybrid Prototyping Framework in a Virtual Platform Centered Design and Verification Flow
Masing, L.; Lesniak, F.; Becker, J.
2021. IEEE embedded systems letters, 13 (1). doi:10.1109/LES.2020.2995084
From MOSFETs to Ambipolar Transistors: Standard Cell Synthesis for the Planar RFET Technology
Reuter, M.; Pfau, J.; Krauss, T. A.; Becker, J.; Hofmann, K.
2021. IEEE transactions on circuits and systems / 1, 68 (1), 114–125. doi:10.1109/TCSI.2020.3035889
Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores
Khan, N.; Castro-Godinez, J.; Xue, S.; Henkel, J.; Becker, J.
2021. IEEE transactions on very large scale integration (VLSI) systems, 29 (1), 38–50. doi:10.1109/TVLSI.2020.3023548
Conference Papers
Time Series Segmentation for Driving Scenario Detection with Fully Convolutional Networks
Elspas, P.; Klose, Y.; Isele, S.; Bach, J.; Sax, E.
2021. Proceedings of the 7th International Conference on Vehicle Technology and Intelligent Transport Systems - VEHITS, 56-64, 2021, 56–64, SciTePress. doi:10.5220/0010404700560064
Template-Driven and Hardware-Centric Cross-Domain E/E Architecture Simulation
Neubauer, K.; Masing, L.; Mahl, M.; Becker, J.; Kramer, M.; Reichmann, C.
2021. Proceedings of the 2021 32nd International Workshop on Rapid System Prototyping: Shortening the Path from Specification to Prototype ; October 14, 2021, Virtual Conference, 29–35, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RSP53691.2021.9806231
Designing Universal Logic Module FPGA Architectures for Use With Ambipolar Transistor Technology
Pfau, J.; Reuter, M.; Hofmann, K.; Becker, J.
2021. 2020 International Conference on Field-Programmable Technology (ICFPT), Maui, HI, USA, 09-11 December 2020, 165–173, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICFPT51103.2020.00031
Ant Colony Optimization Based NoCs for Flexible Spatial Isolation in Mixed Criticality Systems
Anantharajaiah, N.; Knopf, F.; Becker, J.
2021. Proceedings 34th IEEE International System-on-Chip Conference (SOCC): September 14–17, 2021, Virtual. Ed.: G. Qu, 248–253, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC52499.2021.9739596
FLECSim-SoC: A Flexible End-to-End Co-Design Simulation Framework for System on Chips
Hotfilter, T.; Hoefer, J.; Kreß, F.; Kempf, F.; Becker, J.
2021. IEEE 34th International System-on-Chip Conference (SOCC), 14th-17th September 2021, Las Vegas, Nevada, USA, 83–88, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC52499.2021.9739212
Ultra-low Power Machinery Fault Detection Using Deep Neural Networks
Nitzsche, S.; Neher, M.; Dosky, S. von; Becker, J.
2021. Machine Learning and Principles and Practice of Knowledge Discovery in Databases: International Workshops of ECML PKDD 2021, Virtual Event, September 13-17, 2021, Proceedings, Part I. Ed.: M. Kamp, 390–396, Springer. doi:10.1007/978-3-030-93736-2_30
XANDAR: X-by-Construction Design framework for Engineering Autonomous & Distributed Real-time Embedded Software Systems
Becker, J.; Masing, L.; Dörr, T.; Schade, F.; Keramidas, G.; Antonopoulos, C. P.; Mavropoulos, M.; Tiganourias, E.; Kelefouras, V.; Antonopoulos, K.; Voros, N.; Durak, U.; Ahlbrecht, A.; Zaeske, W.; Panagiotou, C.; Karadimas, D.; Adler, N.; Sailer, A.; Weber, R.; Wilhelm, T.; et al.
2021. Proceedings 2021 31st International Conference on Field-Programmable Logic and Applications: FPL 2021 ; Dresden, Germany, 30 August – 3 September 2021, 382–383, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FPL53798.2021.00075
Binary-LoRAX: Low-Latency Runtime Adaptable XNOR Classifier for Semi-Autonomous Grasping with Prosthetic Hands
Fasfous, N.; Vemparala, M.-R.; Frickenstein, A.; Badawy, M.; Hundhausen, F.; Höfer, J.; Nagaraja, N.-S.; Unger, C.; Vögel, H.-J.; Becker, J.; Asfour, T.; Stechele, W.
2021. 2021 IEEE International Conference on Robotics and Automation (ICRA): 30 May – 5 June 2021, Xi’an, China, 13430–13437, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICRA48506.2021.9561045
Incremental Learning for the Improvement of Ampacity Predictions over Time
Molinar, G.; Übel, C.; Stork, W.
2021. Proceedings of 2021 IEEE PES Innovative Smart Grid Technologies Europe (ISGT Europe): 18-21 October 2021 ; Espoo, Finland, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISGTEurope52324.2021.9639914
Immersion-based holographic wave front printer setup for volume holographic retinal projection elements
Wilm, T.; Hofmann, J.; Fiess, R.; Höckh, S.; Stork, W.
2021. Holography: Advances and Modern Trends VII: SPIE Optics + Optoelectronics | 19-30 APRIL 2021. Ed.: A. Fimia, Art.-Nr.: 117740F, SPIE. doi:10.1117/12.2589102
HLS-centric DSE and Optimization for Dynamically Reconfigurable Elliptic Curve Cryptography (ReCC)
Silitonga, A.; Kiyak, Y.; Becker, J.
2021. 2021 IEEE 15th International Conference on Anti-counterfeiting, Security, and Identification (ASID), 122–128, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ASID52932.2021.9651483
Dynamic Reconfiguration of Automotive Architectures Using a Novel Plug-and-Play Approach
Stoll, H.; Grimm, D.; Schindewolf, M.; Brodatzki, M.; Sax, E.
2021. 2021 IEEE Intelligent Vehicles Symposium Workshops (IV Workshops) 2021 IEEE Intelligent Vehicles Symposium Workshops (IV Workshops), Nagoya, Japan, 11-17 July 2021, 70–75, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IVWorkshops54471.2021.9669222
Adaptive test case selection for DNN-based perception functions
Bernhard, J.; Schulik, T.; Schutera, M.; Sax, E.
2021. 2021 IEEE International Symposium on Systems Engineering (ISSE): 13 September - 13 October 2021, online, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE51541.2021.9582499
Virtual Verification of E/E Architectures for Secure Automated Driving Functions
Neubauer, K.; Rumez, M.; Tremmel, H.; Hoppe, A.; Kriesten, R.; Nenninger, P.; Sax, E.; Becker, J.
2021. 2021 IEEE International Symposium on Systems Engineering (ISSE): 13 September - 13 October 2021, online, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE51541.2021.9582552
A Framework for Simulative Evaluation and Optimization of Point Cloud-Based Automotive Sensor Sets
Roos, S.; Volkel, T.; Schmidt, J.; Ewecker, L.; Stork, W.
2021. 2021 IEEE International Intelligent Transportation Systems Conference (ITSC): 19-22 September 2021, Indianapolis, IN, USA, 3231–3237, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC48978.2021.9564871
Optimizing test-set diversity: Trajectory clustering for scenario-based testing of automated driving systems
Bernhard, J.; Schutera, M.; Sax, E.
2021. 2021 IEEE International Intelligent Transportation Systems Conference (ITSC): 19-22 September 2021, Indianapolis, IN, USA, 1371–1378, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC48978.2021.9564771
Evaluation of different methods to measure a stent’s pitch length in an industrial environment
Haas, B.; Braeuner, M.; Lehmann, K.; Sax, E.
2021. Proceedings of the International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), Mauritius, October 7-8, 2021, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME52200.2021.9590830
A Decision Process Model for De-Identification Methods on the Example of Psychometric Data
Schinle, M.; Erler, C.; Leenstra, S.; Stock, S.; Gerdes, M.; Stork, W.
2021. 2021 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME52200.2021.9591139
MMAI - Mobile Moods AI; Electroencephalography Artifact Detection; Towards Objective Assessment of Mental States
Stock, S.; Mazura, F.; De La Torre, F. G.; Gerdes, M.; Schinle, M.; Stork, W.
2021. 2021 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), 01–06, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME52200.2021.9590972
Evaluation of a Hypervisor-Based Smart Controller for Industry 4.0 Functions in Manufacturing
Schade, F.; Barton, D.; Fleischer, J.; Becker, J.
2021. 7th IEEE World Forum on Internet of Things (WF-IoT), 680–685, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WF-IoT51360.2021.9595534
Model Predictive HVAC Control with disturbance variable forecasting for city buses
Sommer, M.; Sax, E.; Rösch, T.
2021. 2021 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME) Mauritius, Mauritius, 7-8 Oct. 2021, 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECCME52200.2021.9591111
Holographic combiners for augmented reality applications fabricated by wave front recording
Wilm, T.; Höckh, S.; Fiess, R.; Stork, W.
2021. Novel Optical Systems, Methods, and Applications XXIV: SPIE Optical Engineering + Applications, 1-5 August 2021. Ed.: C. F. Hahlweg, Art.-Nr.: 1181504, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2596838
Short Window Network for Remote Heart Rate Measurement
Zhou, K.; Krause, S.; Blocher, T.; Stork, W.
2021. 2021 IEEE 9th International Conference on Healthcare Informatics (ICHI): 9-12 August 2021, online, 200–208, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICHI52183.2021.00039
Integrating a safety factor into ampacity forecasting models using quantile losses
Molinar, G.; Gundlach, J.; Stork, W.
2021. 2021 IEEE PES Innovative Smart Grid Technologies Conference - Latin America (ISGT Latin America). Ed.: Ricardo Arias, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISGTLatinAmerica52371.2021.9543043
Extension of Contracts for Variability Modeling and Incremental Update Checks of Cyber Physical Systems
Guissouma, H.; Kroger, J.; Maelen, S. V.; Sax, E.
2021. 2021 IEEE International Symposium on Systems Engineering (ISSE). Hrsg.: Institute of Electrical and Electronics Engineers IEEE, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE51541.2021.9582468
ICARUS - Incremental Design and Verification of Software Updates in Safety-Critical Product Lines
Guissouma, H.; Schindewolf, M.; Sax, E.
2021. 2021 47th Euromicro Conference on Software Engineering and Advanced Applications (SEAA). Hrsg.: IEEE, 371–378, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SEAA53835.2021.00055
A novel approach for human activity recognition using object interactions and machine learning
Schroth, M.; Etkin, T.; Stork, W.
2021. 2021 Symposium Proceedings: 2021 IEEE Sensors Applications Symposium, August 23-25, 2021, Virtual Conference, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS51076.2021.9530029
Anomaly detection concept for a non-invasive blood pressure measurement method in the ear
Diehl, M.; Teichmann, T.; Zeilfelder, J.; Stork, W.
2021. 2021 Symposium Proceedings: 2021 IEEE Sensors Applications Symposium, August 23-25, 2021, Virtual Conference, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS51076.2021.9530087
Employing the Concept of Multilevel Security to Generate Access Protection Configurations for Automotive On-Board Networks
Dörr, T.; Sandmann, T.; Mohr, H.; Becker, J.
2021. 2021 24th Euromicro Conference on Digital System Design (DSD), 107–114, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD53832.2021.00026
An Adaptive Lockstep Architecture for Mixed-Criticality Systems
Kempf, F.; Hartmann, T.; Bähr, S.; Becker, J.
2021. 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI): 7-9 July 2021, Tampa, FL, USA, 7–12, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISVLSI51109.2021.00013
Data-driven development of digital health applications on the example of dementia screening
Schinle, M.; Erler, C.; Schneider, T.; Plewnia, J.; Stork, W.
2021. 2021 IEEE International Symposium on Medical Measurements and Applications (MeMeA) : 2021 IEEE International Symposium on Medical Measurements and Applications, MeMeA 2021, Virtual, 23 June 2021 - 25 June 2021, Art.-Nr.: 9478676, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MeMeA52024.2021.9478676
High-speed Hardware Accelerator for Trace Decoding in Real-Time Program Monitoring
Hoppe, A.; Becker, J.; Kastensmidt, F. L.
2021. 2021 IEEE 12th Latin America Symposium on Circuits and System (LASCAS) : 12th IEEE Latin American Symposium on Circuits and Systems, LASCAS 2021, Arequipa, 22 February 2021 - 25 February 2021, Art.-Nr.: 9459137, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/LASCAS51355.2021.9459137
Evaluation of Different Manual Placement Strategies to Ensure Uniformity of the V-FPGA
Pfau, J.; Zaki, P. W.; Becker, J.
2021. Applied Reconfigurable Computing. Architectures, Tools, and Applications: 17th International Symposium, ARC 2021, Virtual Event, June 29–30, 2021, Proceedings. Ed.: S. Derrien, 35–49, Springer Verlag. doi:10.1007/978-3-030-79025-7_3
Project Overview for Step-Up!CPS - Process, Methods and Technologies for Updating Safety-critical Cyber-physical Systems
Strathmann, T.; Hake, G.; Guissouma, H.; Hohl, C. P.; Bebawy, Y.; Maelen, S. V.; Koerner, A.
2021. 2021 Design, Automation Test in Europe Conference Exhibition (DATE), 1326–1329, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE51398.2021.9474032
Data-based analysis of the optimal direction of a photovoltaic system for remote sensor nodes for a case study in Germany
Shen, N.; Wu, J.; Molinar, G.; Stork, W.
2021. 5th International Conference on Green Energy and Applications, ICGEA 2021, Virtual, Singapore, 6 March 2021 - 8 March 2021, 124–129, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICGEA51694.2021.9487593
A Deep Learning First Approach to Remaining Useful Lifetime Prediction of Filtration System With Improved Response to Changing Operational Parameters Using Parameterized Fully-connected Layer
Vu, C. T.; Chandra-Sekaran, A.; Stork, W.
2021. Proceedings of the 6th European Conference of the Prognostics and Health Management Society 2021. Ed.: P. Do, 439–447. doi:10.36001/phme.2021.v6i1.2823
Multi-layered NoCs with Adaptive Routing for Mixed Criticality Systems
Anantharajaiah, N.; Zhang, Z.; Becker, J.
2021. Applied Reconfigurable Computing. Ed.: S. Derrien, 125–139, Springer Nature Switzerland. doi:10.1007/978-3-030-79025-7_9
Moving Target and Implementation Diversity Based Countermeasures Against Side-Channel Attacks
Khan, N.; Hettwer, B.; Becker, J.
2021. Applied Reconfigurable Computing. Ed.: S. Derrien, 188–202, Springer Nature Switzerland. doi:10.1007/978-3-030-79025-7_13
Transparent Near-Memory Computing with a Reconfigurable Processor
Lesniak, F.; Kreß, F.; Becker, J.
2021. Applied Reconfigurable Computing. Ed.: S. Derrien, 221–231, Springer Nature Switzerland. doi:10.1007/978-3-030-79025-7_15
Automatic Generation of Critical Test Cases for the Development of Highly Automated Driving Functions
Baumann, D.; Pfeffer, R.; Sax, E.
2021. 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring), 5 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/VTC2021-Spring51267.2021.9448686
Analysis and Modeling of Future Electric/Electronic Architectures for Modular Vehicles Concepts
Schindewolf, M.; Guissouma, H.; Sax, E.
2021. 21. Internationales Stuttgarter Symposium. Hrsg.: M. Bargende, 32–46, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-33521-2_3
Introduction of an Algorithm Based on Convolutional Neural Networks for an Automated Online Correction of Braided Cardiovascular Implants
Haas, B.; Stang, M.; Khan-Blouki, V.; Sax, E.
2021. Human Interaction, Emerging Technologies and Future Applications IV. Ed.: T. Ahram, 28–36, Springer International Publishing. doi:10.1007/978-3-030-74009-2_4
Automated Anomaly Detection in Data Streams on Engine Test Benches Using Multi-Scale Convolutional Recurrent Encoder-Decoder
Juhl, J.; Rudolf, T.; Lukas, K.; Schwab, S.; Dummer, G.; Hohmann, S.; Stork, W.
2021. Proceedings of the International Vienna Motor Symposium 2021. Hrsg.: B. Geringer, Österreichischer Verein für Kraftfahrzeugtechnik (ÖVK)
Intelligent Control of HVAC Systems in Electric Buses
Sommer, M.; Junk, C.; Rösch, T.; Sax, E.
2021. Human Interaction, Emerging Technologies and Future Applications IV : Proceedings of the 4th International Conference on Human Interaction and Emerging Technologies: Future Applications (IHIET – AI 2021), April 28-30, 2021, Strasbourg, France. Ed.: T. Ahram, 68–75, Springer International Publishing. doi:10.1007/978-3-030-74009-2_9
Data Sovereignty in Data Donation Cycles - Requirements and Enabling Technologies for the Data-driven Development of Health Applications
Schinle, M.; Erler, C.; Stork, W.
2021. Proceedings of the 54th Hawaii International Conference on System Sciences, 3972–3981. doi:10.24251/HICSS.2021.482
A low-complexity yet accurate calibration method for automotive augmented reality head-up displays
Gao, X.; Necker, M.; Stork, W.
2021. Thirteenth International Conference on Machine Vision (ICMV 2020), Rome, I, November 2-6,2020. Ed.: W. Osten, 15, SPIE. doi:10.1117/12.2586925
A target-free calibration method for automotive augmented reality head-up displays
Gao, X.; Wu, K.; Necker, M.; Stork, W.; Jadid, A.; Klinker, G.
2021. J. Zhou & D. P. Nikolaev (Eds.), Thirteenth International Conference on Machine Vision, 19, SPIE. doi:10.1117/12.2586931
Hierarchical Versioning to Increase Compatibility in Signal-Oriented Vehicle Networks
Vetter, A.; Sax, E.
2021. Proceedings of the 27th International Conference on Systems Engineering, ICSEng 2020. Ed.: H. Selvaraj, 435–444, Springer International Publishing. doi:10.1007/978-3-030-65796-3_42
Security-driven Cross-Layer Model & Description of a HW/SW Framework for AP MPSoC-based Computing Device
Silitonga, A.; Becker, J.
2021. The 14th IEEE International Systems Conference (SYSCON), Montreal, Canada (Virtual), 24-27 August, 2020, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysCon47679.2020.9353653
Reports/Preprints
Prototype design of a timing and fast control system in the CBM experiment
Sidorenko, V.; Fröhlich, I.; Müller, W. F. J.; Emschermann, D.; Bähr, S.; Sturm, C.; Becker, J.
2021. doi:10.48550/arXiv.2110.12738
Audio & Video
K-Means-Clustering
Molinar, G.; Stang, M.
2021. doi:10.5445/IR/1000139095
2020
Book Chapters
Adaptive Customized Forward Collision Warning System Through Driver Monitoring
Stang, M.; Sommer, M.; Baumann, D.; Zijia, Y.; Sax, E.
2020. Proceedings of the Future Technologies Conference (FTC) 2020, Volume 2. Ed.: K. Arai, 757–772, Springer International Publishing. doi:10.1007/978-3-030-63089-8_50
Network Security Monitoring in Automotive Domain
Grimm, D.; Pistorius, F.; Sax, E.
2020. Advances in Information and Communication – Proceedings of the 2020 Future of Information and Communication Conference (FICC), Volume 1. Ed.: K. Arai, 782–799, Springer International Publishing. doi:10.1007/978-3-030-39445-5_57
PhD Theses
Machine Learning Tool for Transmission Capacity Forecasting of Overhead Lines based on Distributed Weather Data. PhD dissertation
Molinar Torres, G. A.
2020, December 16. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000127624
Prototyping Methodologies and Design of Communication-centric Heterogeneous Many-core Architectures. PhD dissertation
Masing, L. J.
2020, November 26. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000126812
Compileroptimierung und parallele Code-Generierung für zeitkritische eingebettete Multiprozessorsysteme. PhD dissertation
Reder, S. T.
2020, October 7. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000124294
Testen von Datensicherheit in vernetzten und automatisierten Fahrzeugen durch virtuelle Steuergeräte. PhD dissertation
Lauber, A. F.
2020, September 29. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000123951
Holographic wave front printing in immersion for transparent display applications. PhD dissertation
Hofmann, J.
2020, September 7. Karlsruher Institut für Technologie (KIT)
Parametererfassung am menschlichen Ohr auf Basis von Druckänderungen im äußeren Gehörgang. PhD dissertation
Zeilfelder, J.
2020, March 3. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000105927
Journal Articles
Transverse and longitudinal segmented forward hadron calorimeters with SiPMs light readout for future fixed target heavy ion experiments
BM@N Collaboration; CBM Collaboration; NA61/SHINE Collaboration; Guber, F.; Finogeev, D.; Golubeva, M.; Ivashkin, A.; Izvestnyy, A.; Karpushkin, N.; Morozov, S.; Kugler, A.; Mikhaylov, V.; Senger, A.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; et al.
2020. Nuclear instruments & methods in physics research / A, 958, Art.-Nr. 162728. doi:10.1016/j.nima.2019.162728
Status of the Compressed Baryonic Matter experiment at FAIR
CBM Collaboration; Senger, P.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2020. International journal of modern physics / E, 29 (02), 2030001–1. doi:10.1142/S0218301320300015
Probing dense QCD matter in the laboratory—The CBM experiment at FAIR
CBM Collaboration; Senger, P.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2020. Physica scripta, 95 (7), Art.-Nr.: 074003. doi:10.1088/1402-4896/ab8c14
Physics Performance Studies for Anisotropic Flow Measurements with the CBM Experiment at FAIR
CBM Collaboration; Golosov, O.; Klochkov, V.; Kashirin, E.; Selyuzhenkov, I.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2020. Physics of particles and nuclei, 51 (3), 297–300. doi:10.1134/S1063779620030119
Using multiplicity of produced particles for centrality determination in heavy-ion collisions with the CBM experiment
CBM Collaboration; Segal, I.; Lubynets, O.; Selyuzhenkov, I.; Klochkov, V.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2020. Journal of Physics: Conference Series, 1690, Art.-Nr.: 012107. doi:10.1088/1742-6596/1690/1/012107
Performance for proton anisotropic flow measurement of the CBM experiment at FAIR
CBM Collaboration; Golosov, O.; Klochkov, V.; Kashirin, E.; Selyuzhenkov, I.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2020. Journal of Physics: Conference Series, 1690, Art.-Nr. 012104. doi:10.1088/1742-6596/1690/1/012104
Multiple-pulse damage thresholds of retinal explants in the ns-time regime
Lipp, S.; Kotzur, S.; Elmlinger, P.; Stork, W.
2020. Biomedical optics express, 11 (12), Article no: 7299. doi:10.1364/BOE.412012
Pileup mitigation at CMS in 13 TeV data
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Bergauer, T.; Dragicevic, M.; Erö, J.; Valle, A. E. D.; Flechl, M.; Frühwirth, R.; Jeitler, M.; Krammer, N.; Krätschmer, I.; Liko, D.; Madlener, T.; Mikulec, I.; Rad, N.; Schieck, J.; Schöfbeck, R.; et al.
2020. Journal of Instrumentation, 15 (9), Art. Nr.: P09018. doi:10.1088/1748-0221/15/09/P09018
Reconstruction of signal amplitudes in the CMS electromagnetic calorimeter in the presence of overlapping proton-proton interactions
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Bergauer, T.; Dragicevic, M.; Erö, J.; Valle, A. E. D.; Frühwirth, R.; Jeitler, M.; Krammer, N.; Lechner, L.; Liko, D.; Madlener, T.; Mikulec, I.; Pitters, F. M.; Rad, N.; Schieck, J.; Schöfbeck, R.; et al.
2020. Journal of Instrumentation, 15 (10), Art. Nr.: P10002. doi:10.1088/1748-0221/15/10/P10002
The Merits of a Decentralized Pollution-Monitoring System Based on Distributed Ledger Technology
Lücking, M.; Kannengießer, N.; Kilgus, M.; Riedel, T.; Beigl, M.; Sunyaev, A.; Stork, W.
2020. IEEE access, 8, 189365–189381. doi:10.1109/ACCESS.2020.3028430
Search for Axionlike Particles Produced in e⁺ e⁻ Collisions at Belle II
Belle 2 Collaboration; Abudinén, F.; Adachi, I.; Aihara, H.; Akopov, N.; Aloisio, A.; Ameli, F.; Anh Ky, N.; Asner, D. M.; Aushev, T.; Aushev, V.; Babu, V.; Baehr, S.; Bahinipati, S.; Bambade, P.; Banerjee, S.; Bansal, S.; Baudot, J.; Becker, J.; Behera, P. K.; et al.
2020. Physical review letters, 125 (16), Article: 161806. doi:10.1103/PhysRevLett.125.161806
Development of an Emotion-Sensitive mHealth Approach for Mood-State Recognition in Bipolar Disorder
Daus, H.; Bloecher, T.; Egeler, R.; De Klerk, R.; Stork, W.; Backenstrass, M.
2020. JMIR mental health, 7 (7), Art.-Nr.: e14267. doi:10.2196/14267
Development of the Level-1 track trigger with Central Drift Chamber detector in Belle II experiment and its performance in SuperKEKB 2019 Phase 3 operation
Belle 2 Collaboration; Lai, Y.-T.; Aoyama, M.; Bae, H.; Bähr, S.; Chang, M.-C.; Hayashii, H.; Iwasaki, Y.; Kim, J.-B.; Kim, K.-T.; Kiesling, C.; Koga, T.; Lu, P.-C.; Liu, S.-M.; Meggendorfer, F.; Moon, H.-K.; Moon, T.-J.; Nakazawa, H.; Rados, P.; Rostomyan, A.; et al.
2020. Journal of Instrumentation, 15 (6), Art. Nr.: C06063. doi:10.1088/1748-0221/15/06/C06063
A 3D track finder for the Belle II CDC L1 trigger
Skambraks, S.; Bähr, S.; Becker, J.; Kiesling, C.; McCarney, S.; Meggendorfer, F.; Tonder, R. V.; Lukas Unger, K.
2020. Journal of physics / Conference series, 1525 (1), Art. Nr.: 012102. doi:10.1088/1742-6596/1525/1/012102
Capabilities and limitations of a new thermal finite volume model for the evaluation of laser-induced thermo-mechanical retinal damage
Luecking, M.; Brinkmann, R.; Ramos, S.; Stork, W.; Heussner, N.
2020. Computers in biology and medicine, 122, Art.Nr. 103835. doi:10.1016/j.compbiomed.2020.103835
Measurement of the top quark pair production cross section in dilepton final states containing one τ lepton in pp collisions at √s = 13 TeV
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Bergauer, T.; Brandstetter, J.; Dragicevic, M.; Erö, J.; Escalante Del Valle, A.; Flechl, M.; Frühwirth, R.; Jeitler, M.; Krammer, N.; Krätschmer, I.; Liko, D.; Madlener, T.; Mikulec, I.; Rad, N.; Schieck, J.; et al.
2020. Journal of high energy physics, 2020 (2), Art. Nr.: 191. doi:10.1007/JHEP02(2020)191
Search for lepton flavour violating decays of a neutral heavy Higgs boson to μτ and eτ in proton-proton collisions at √s = 13 TeV
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Bergauer, T.; Brandstetter, J.; Dragicevic, M.; Eroe, J.; Del Valle, A. E.; Flechl, M.; Fruehwirth, R.; Jeitler, M.; Krammer, N.; Kraetschmer, I.; Liko, D.; Madlener, T.; Mikulec, I.; Rad, N.; Schieck, J.; et al.
2020. (CMS Collaboration, Ed.) Journal of high energy physics, 2020 (3), Art. Nr.: 103. doi:10.1007/JHEP03(2020)103
Search for an Invisibly Decaying Z’ Boson at Belle II in e⁺e⁻ → μ⁺μ⁻(eμ) Plus Missing Energy Final States
Belle 2 Collaboration; Adachi, I.; Ahlburg, P.; Aihara, H.; Akopov, N.; Aloisio, A.; Anh Ky, N.; Asner, D. M.; Atmacan, H.; Aushev, T.; Aushev, V.; Aziz, T.; Babu, V.; Baehr, S.; Bambade, P.; Banerjee, S.; Bansal, V.; Barrett, M.; Baudot, J.; Becker, J.; et al.
2020. Physical review letters, 124 (14), Article: 141801. doi:10.1103/PhysRevLett.124.141801
CNN-Based Lidar Point Cloud De-Noising in Adverse Weather
Heinzler, R.; Piewak, F.; Schindler, P.; Stork, W.
2020. IEEE Robotics and automation letters, 5 (2), 2514–2521. doi:10.1109/LRA.2020.2972865
Ambulatory sleep scoring using accelerometers—distinguishing between nonwear and sleep/wake states
Barouni, A.; Ottenbacher, J.; Schneider, J.; Feige, B.; Riemann, D.; Herlan, A.; El Hardouz, D.; McLennan, D.
2020. PeerJ, 8, Art. Nr.: e8284. doi:10.7717/peerj.8284
Measurement of the integrated luminosity of the Phase 2 data of the Belle II experiment
Belle 2 Collaboration; Abudinén, F.; Adachi, I.; Ahlburg, P.; Aihara, H.; Akopov, N.; Aloisio, A.; Ameli, F.; Andricek, L.; Anh Ky, N.; Asner, D. M.; Atmacan, H.; Aushev, T.; Aushev, V.; Aziz, T.; Azmi, K.; Babu, V.; Baehr, S.; Bahinipati, S.; Bakich, A. M.; et al.
2020. Chinese physics / C, 44 (2), Article: 021001. doi:10.1088/1674-1137/44/2/021001
Conference Papers
Fine Grained Control Flow Checking with Dedicated FPGA Monitors
Hoppe, A.; Becker, J.; Kastensmidt, F. L.
2020. 2020 IEEE 33rd International System-on-Chip Conference (SOCC): 8-11 September 2020, Las Vegas, NV, USA (online), 219–224, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC49529.2020.9524751
Intuitive Time-Series-Analysis-Toolbox for Inexperienced Data Scientists
Pistorius, F.; Baumann, D.; Seidel, L.; Sax, E.
2020. 2020 International Conference on Computational Science and Computational Intelligence (CSCI) : 2020 International Conference on Computational Science and Computational Intelligence, CSCI 2020, Las Vegas, 16 December 2020 - 18 December 2020, 401–406. doi:10.1109/CSCI51800.2020.00075
Watermelon: a Novel Feature Selection Method Based on Bayes Error Rate Estimation and a New Interpretation of Feature Relevance and Redundancy
Xie, X.; Stork, W.
2020. Proceedings of ICPR 2020 25th International Conference on Pattern Recognition, Milan, 10 – 15 January 2021, 1360–1367, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICPR48806.2021.9413262
Fuzzing Framework for ESP32 Microcontrollers
Borsig, M.; Nitzsche, S.; Eisele, M.; Gröll, R.; Becker, J.; Baumgart, I.
2020. 2020 IEEE International Workshop on Information Forensics and Security (WIFS), 6-11 December 2020, online, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WIFS49906.2020.9360889
A Proactive Context-Aware Recommender System for In-Vehicle Use
Guinea, M.; Litton, I.; Smiroldo, R.; Nitsche, I.; Sax, E.
2020. Proceedings of the 4th International Conference on Vision, Image and Signal Processing (ICVISP 2020), Article no: 3448852, Association for Computing Machinery (ACM). doi:10.1145/3448823.3448852
Evaluation of Deep Reinforcement Learning Algorithms for Autonomous Driving
Stang, M.; Grimm, D.; Gaiser, M.; Sax, E.
2020. 2020 IEEE Intelligent Vehicles Symposium (IV), 19 October - 13 November 2020, online, 1576–1582, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IV47402.2020.9304792
A Study of the Impact of Formulation of Cost Function in Task Mapping Problem on NoCs
Barros, J. B. de; Anantharajaiah, N.; Ayala-Rincon, M.; Llanos, C. H.; Becker, J.
2020. 2020 IEEE Nordic Circuits and Systems Conference (NorCAS), 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NorCAS51424.2020.9265134
Towards an On-Demand Redundancy Concept for Autonomous Vehicle Functions using Microservice Architecture
Liu, B.; Betancourt, V. P.; Zhu, Y.; Becker, J.
2020. 2020 IEEE International Symposium on Systems Engineering (ISSE), Article no: 9272016, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE49799.2020.9272016
An Architecture-based Modeling Approach Using Data Flows for Zone Concepts in Industry 4.0
Kern, M.; Taspolatoglu, E.; Scheytt, F.; Glock, T.; Liu, B.; Betancourt, V. P.; Becker, J.; Sax, E.
2020. 6th IEEE International Symposium on Systems Engineering (ISSE 2020), Vienna, A, 12 October - 12 November 2020, Article no: 9272013, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE49799.2020.9272013
Model-based Development of a Dynamic Container-Based Edge Computing System
Betancourt, V. P.; Liu, B.; Becker, J.
2020. 2020 IEEE International Symposium on Systems Engineering (ISSE), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE49799.2020.9272014
Linking Intrusion Detection System Information and System Model to Redesign Security Architecture
Betancourt, V. P.; Glock, T.; Kharitonov, A.; Kern, M.; Liu, B.; Sax, E.; Becker, J.
2020. 2020 IEEE International Systems Conference (SysCon), 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysCon47679.2020.9275862
QUA³CK - A Machine Learning Development Process
Stock, S. C.; Becker, J.; Grimm, D.; Hotfilter, T.; Molinar, G.; Stang, M.; Stork, W.
2020. Proceedings of Artificial Intelligence for Science, Industry and Society — PoS(AISIS2019), 026, Scuola Internazionale Superiore di Studi Avanzati (SISSA). doi:10.22323/1.372.0026
Online Estimation of Particle Track Parameters based on Neural Networks for the Belle II Trigger System
Baehr, S.; Unger, K. L.; Becker, J.; Meggendorfer, F.; Skambraks, S.; Kiesling, C.
2020. Proceedings of Artificial Intelligence for Science, Industry and Society — PoS(AISIS2019), 010, Scuola Internazionale Superiore di Studi Avanzati (SISSA). doi:10.22323/1.372.0010
A Methodology to Determine Test Scenarios for Sensor Constellation Evaluations
Gogri, M.; Hartstern, M.; Stork, W.; Winsel, T.
2020. 2020 IEEE 3rd Connected and Automated Vehicles Symposium (CAVS), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CAVS51000.2020.9334603
Ampacity forecasting from Numerical Weather Predictions: a fusion of the traditional and machine learning methods
Molinar, G.; Bassler, J.; Popovic, N.; Stork, W.
2020. 2020 IEEE PES Innovative Smart Grid Technologies Europe (ISGT-Europe), 514–518, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISGT-Europe47291.2020.9248877
Integration of ROS communication interfaces in a model-based tool for the description of AUTOSAR-compliant electrical/electronic architectures (E/E-A) in vehicle development
Stoll, H.; Koch, E.; Sax, E.
2020. The 23rd IEEE International Conference on Intelligent Transportation Systems September 20 – 23, 2020, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ITSC45102.2020.9294319
Analysis of Requirements for Autonomous Driving Systems
Müller, F.; Nenninger, P.; Sax, E.
2020. 2020 11th IEEE Annual Information Technology, Electronics and Mobile Communication Conference, (IEMCON), 4-7 November 2020, online, 87–93, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IEMCON51383.2020.9284853
Conceptual Design of Automotive Sensor Systems: Analyzing the impact of different sensor positions on surround-view coverage
Hartstern, M.; Rack, V.; Stork, W.
2020. 2020 IEEE SENSORS, Rotterdam, Netherlands, 25–28 October, 2020., Art.-Nr. 9278638, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SENSORS47125.2020.9278638
Incremental Contract-based Verification of Software Updates for Safety-Critical Cyber-Physical Systems
Bebawy, Y.; Guissouma, H.; Vander Maelen, S.; Kröger, J.; Hake, G.; Stierand, I.; Fränzle, M.; Sax, E.; Hahn, A.
2020. 2020 International Conference on Computational Science and Computational Intelligence (CSCI), Las Vegas, United States, Dec 16, 2020 - Dec 18, 2020
TalkyCars: A Distributed Software Platform for Cooperative Perception among Connected Autonomous Vehicles based on Cellular-V2X Communication
Sommer, M.; Stang, M.; Muetsch. Ferdinand; Sax, E.
2020. IEEE Intelligent Vehicles Symposium, October 19 - November 13, 2020, (Virtual) Las Vegas, NV
A video-based vehicle counting system using an embedded device in realistic traffic conditions
Lucking, M.; Rivera, E.; Kohout, L.; Zimmermann, C.; Polad, D.; Stork, W.
2020. 6th IEEE World Forum on Internet of Things, WF-IoT 2020, New Orleans, United States, 2 - 16 June 2020, Art.Nr. 9221094. doi:10.1109/WF-IoT48130.2020.9221094
Integration, Execution and Monitoring of Business Processes with Chaincode
Schinle, M.; Erler, C.; Andris, P. N.; Stork, W.
2020. 2nd Conference on Blockchain Research and Applications for Innovative Networks and Services, BRAINS 2020, Paris, France, 28 - 30 September 2020, 63–70. doi:10.1109/BRAINS49436.2020.9223283
MiteS: Software-based Microarchitectural Attacks and Countermeasures in networked AP SoC Platforms
Silitonga, A.; Gassoumi, H.; Becker, J.
2020. The 14th IEEE International Conference on Anti-counterfeiting, Security, and Identification (ASID), Xiamen, China (Virtual), Oct. 31-Nov. 1, 2020, 65–71, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ASID50160.2020.9271734
Decentralized patient-centric data management for sharing IoT data streams
Lucking, M.; Manke, R.; Schinle, M.; Kohout, L.; Nickel, S.; Stork, W.
2020. 2020 International Conference on Omni-layer Intelligent Systems (COINS), August 31 - September 2, 2020, Barcelona, Spain, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/COINS49042.2020.9191653
Anomaly Detection for Automotive Diagnostic Applications Based on N-Grams
Rumez, M.; Lin, J.; Fuchß, T.; Kriesten, R.; Sax, E.
2020. 2020 IEE, 44th Annual Computers, Software, and Applications Conference (COMPSAC), : Madrid, Spain, 13-17 July 2020, 1423–1429, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/COMPSAC48688.2020.00-56
SceML: a graphical modeling framework for scenario-based testing of autonomous vehicles
Schütt, B.; Braun, T.; Otten, S.; Sax, E.
2020. 23rd International Conference on Model-Driven Engineering Languages and Systems, Canada October, 16 - 23 October 2020. (MODELS 20). Ed.: E. Syriani, 114–120, Association for Computing Machinery (ACM). doi:10.1145/3365438.3410933
An Approach to Cost-Efficient Fault Tolerance in Inherently Redundant Fail-Operational Systems
Dörr, T.; Sandmann, T.; Friederich, P.; Leitner, A.; Becker, J.
2020. 2020 23rd Euromicro Conference on Digital System Design (DSD), 630–637, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD51259.2020.00103
A Formal Model for the Automatic Configuration of Access Protection Units in MPSoC-Based Embedded Systems
Dörr, T.; Sandmann, T.; Becker, J.
2020. 2020 23rd Euromicro Conference on Digital System Design (DSD), 596–603, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD51259.2020.00098
3-D Shape optimization of a Sensor Mounting Arm Using MOGA and MLF
Shen, N.; Li, D.; Stork, W.
2020. 12th International Conference on Advanced Computational Intelligence (ICACI), Dali, China, 14-16 Aug. 2020, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICACI49185.2020.9177734
How to disclose selective Information from permissioned DLT-based Traceability Systems?
Schinle, M.; Erler, C.; Vetter, A. R.; Stork, W.
2020. 2020 IEEE International Conference on Decentralized Applications and Infrastructures (DAPPS), Oxford, United Kingdom, 3-6 Aug. 2020, 153–158, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DAPPS49028.2020.00020
Enhancing Remote-PPG Pulse Extraction in Disturbance Scenarios Utilizing Spectral Characteristics
Zhou, K.; Krause, S.; Blocher, T.; Stork, W.
2020. 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), Seattle, WA, USA, 14-19 June 2020, 1130–1138, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CVPRW50498.2020.00148
Decentralized Identity and Trust Management Framework for Internet of Things
Luecking, M.; Fries, C.; Lamberti, R.; Stork, W.
2020. 2020 IEEE International Conference on Blockchain and Cryptocurrency (ICBC), Toronto, ON, Canada, Canada, 2-6 May 2020, 1–9, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICBC48266.2020.9169411
A Hardware Perspective on the ChaCha Ciphers: Scalable Chacha8/12/20 Implementations Ranging from 476 Slices to Bitrates of 175 Gbit/s
Pfau, J.; Reuter, M.; Harbaum, T.; Hofmann, K.; Becker, J.
2020. 2019 32nd IEEE International System-on-Chip Conference (SOCC), Singapore, 3-6 Sept. 2019, 294–299, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC46988.2019.1570548289
Evolutionary Algorithms to Generate Test Cases for Safety and IT-Security in Automotive Systems
Lauber, A.; Sommer, M.; Fuchs, M.; Sax, E.
2020. SYSCON 2020 : the 14th Annual IEEE International Systems Conference : August 24-27, 2020, virtual conference : 2020 conference proceedings, Art.Nr. 09275836, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysCon47679.2020.9275836
Observation of a Charged Charmoniumlike Structure in e+e−→π+π−J/ψ at s√=4.26 GeV
Ablikim, M.; Achasov, M. N.; Ai, X. C.; Albayrak, O.; Ambrose, D. J.; An, F. F.; An, Q.; Bai, J. Z.; Ferroli, R. B.; Ban, Y.; Becker, J.; Bennett, J. V.; Bertani, M.; Bian, J. M.; Boger, E.; Bondarenko, O.; Boyko, I.; Briere, R. A.; Bytev, V.; Cai, H.; et al.
2020. 30 Years of Bes Physics : Proceedings of the Symposium on 30 Years of Bes Physics. Ed.: editet M. Ye, 229–235, World Scientific Publishing. doi:10.1142/9789811217739_0033
Observation of a Charged Charmoniumlike Structure Zc(4020) and Search for the Zc(3900) in e+e−→π+π−hc
Ablikim, M.; Achasov, M. N.; Albayrak, O.; Ambrose, D. J.; An, F. F.; An, Q.; Bai, J. Z.; Ferroli, R. B.; Ban, Y.; Becker, J.; Bennett, J. V.; Bertani, M.; Bian, J. M.; Boger, E.; Bondarenko, O.; Boyko, I.; Braun, S.; Briere, R. A.; Bytev, V.; Cai, H.; et al.
2020. 30 Years of Bes Physics : Proceedings of the Symposium on 30 Years of Bes Physics. Ed.: M. Ye, 236–242, World Scientific Publishing. doi:10.1142/9789811217739_0034
Model Driven Development Process for a Service-oriented Industry 4.0 System
Liu, B.; Glock, T.; Betancourt, V. P.; Kern, M.; Sax, E.; Becker, J.
2020. 2020 9th International Conference on Industrial Technology and Management (ICITM), 78–83, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICITM48982.2020.9080344
Development and Implementation of a Concept for the Meta Description of Highway Driving Scenarios with Focus on Interactions of Road Users
Pfeffer, R.; He, J.; Eric, S.
2020. Proceedings of the 6th International Conference on Vehicle Technology and Intelligent Transport Systems. Vol 1:VEHITS, 440–447, SciTePress. doi:10.5220/0009341804400447
Development Processes in Automotive Service-oriented Architectures
Vetter, A.; Obergfell, P.; Guissouma, H.; Grimm, D.; Rumez, M.; Sax, E.
2020. 9th Mediterranean Conference on Embedded Computing (MECO), 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO49872.2020.9134175
Model-Based Development and Simulative Verification of Logical Vehicle Functions Using Executable UN/ECE Regulations
Neubauer, K.; Bucher, H.; Haas, B.; Becker, J.
2020. Proceedings of the 2020 Summer Simulation Conference, Society for Computer Simulation International, San Diego, CA, United States, Art.-Nr.: 31, Association for Computing Machinery (ACM)
Bumblebee Re-Identification Dataset
Tausch, F.; Stock, S.; Fricke, J.; Klein, O.
2020. IEEE Winter Conference on Applications of Computer Vision Workshops, WACVW 2020, Snowmass Village, CO, 1 March 2020 through 5 March 2020. Proceedings, 35–37, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WACVW50321.2020.9096909
Variability-Aware Process Extension for Updating Cyber Physical Systems Over the Air
Guissouma, H.; Hohl, C. P.; Stoll, H.; Sax, E.
2020. 2020 9th Mediterranean Conference on Embedded Computing (MECO), Budva, Montenegro, Montenegro, 8-11 June 2020, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO49872.2020.9134339
Towards Ambipolar Planar Devices: The DeFET Device in Area Constrained XOR Applications
Reuter, M.; Pfau, J.; Krauss, T. A.; Moradinasab, M.; Schwalke, U.; Becker, J.; Hofmann, K.
2020. Proceedings of the 11th IEEE Latin American Symposium on Circuits & Systems (LASCAS), San Jose, Costa Rica, February 15-28, 2020, Article No. 9069043, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/LASCAS45839.2020.9069043
WCET-aware Code Generation and Communication Optimization for Parallelizing Compilers
Reder, S.; Becker, J.
2020. 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, France, 9-13 March 2020, 210–215, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE48585.2020.9116400
Embedded Image Processing the European Way: A new platform for the future automotive market
Hotfilter, T.; Kempf, F.; Becker, J.; Reinhardt, D.; Baili, I.
2020. 6th IEEE World Forum on Internet of Things, WF-IoT 2020, New Orleans, United States, 2 - 16 June 2020, Art.Nr. 9221396, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WF-IoT48130.2020.9221396
Interference-Aware Memory Allocation for Real-Time Multi-Core Systems
Reder, S.; Becker, J.
2020. 26th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), 148–159, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RTAS48715.2020.00-10
CAN Radar: Sensing Physical Devices in CAN Networks based on Time Domain Reflectometry
Rumez, M.; Durrwang, J.; Brecht, T.; Steinshorn, T.; Neugebauer, P.; Kriesten, R.; Sax, E.
2020. 2019 IEEE Vehicular Networking Conference (VNC), Article No. 9062819, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/VNC48660.2019.9062819
Deepbees - Building and scaling convolutional neuronal nets for fast and large-scale visual monitoring of bee hives
Marstaller, J.; Tausch, F.; Stock, S.
2020. 2019 IEEE/CVF International Conference on Computer Vision Workshop (ICCVW), 271–278, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCVW.2019.00036
Realization of a state machine based detection for Track Segments in the Trigger System of the Belle II Experiment
Unger, K. L.; Bähr, S.; Becker, J.; Iwasaki, Y.; Kim, K.; Lai, Y.-T.
2020. Proceedings of Topical Workshop on Electronics for Particle Physics, TWEPP 2019, Santiago de Compostela, Spain, 2 - 6 September 2019, Code 160323. doi:10.22323/1.370.0145
Influence of the beam profile on laser-induced thresholds using explants
Ramos, S.; Elmlinger, P.; Stork, W.; Heussner, N.
2020. Z. Zalevsky, V. V. Tuchin & W. C. Blondel (Eds.), Tissue Optics and Photonics. SPIE Photonics Europe, Online France, April 6-10, 2020. Ed.: V.V. Tuchin, 51, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2557057
A system approach for closed-loop assessment of neuro-visual function based on convolutional neural network analysis of EEG signals
Stock, S. C.; Armengol-Urpi, A.; Kovács, B.; Maier, H.; Gerdes, M.; Stork, W.; Sarma, S. E.
2020. Online SPIE Photonics Europe, 6-10 April 2020. Neurophotonics. Vol.: 11360, 1136008/ 19 S., Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2554417
Highly parallelized rendering of the retinal image through a computer-simulated human eye for the design of virtual reality head-mounted displays
Vu, C. T.; Stock, S. C.; Fan, L. T.; Stork, W.
2020. Online SPIE Photonics Europe, 6 - 10 April 2020 - Optics, Photonics and Digital Technologies for Imaging Applications. Vol.: VI. Ed.: P. Schelkens, 1135316 / 21 S., Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2555872
Holographic micro-mirror arrays as projection screens for transparent display applications
Fiess, R.; Hofmann, J.
2020. Practical Holography XXXIV: Displays, Materials, and Applications, Article: 1130606, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2543483
FEEDI - A Smart Wearable Foot-Band for Navigation and Guidance Using Haptic Feedback
Stock, S.; Bertemes, A.; Stang, M.; Böhme, M.; Grimm, D.; Stork, W.
2020. Human Interaction, Emerging Technologies and Future Applications II : Proceedings of the 2nd International Conference on Human Interaction and Emerging Technologies: Future Applications (IHIET – AI 2020), April 23-25, 2020, Lausanne, Switzerland. Ed.: T. Ahram, 349–355, Springer. doi:10.1007/978-3-030-44267-5_52
Multiple-pulse damage thresholds on the retinal pigment epithelium layer using top hat profiles
Ramos, S.; Stork, W.; Heußner, N.
2020. B. L. Ibey & N. Linz (Eds.), Optical Interactions with Tissue and Cells XXXI, 12, SPIE. doi:10.1117/12.2544761
Development and implementation of a concept for the meta description of highway driving scenarios with focus on interactions of road users
Pfeffer, R.; He, J.; Sax, E.
2020. Proceedings of the 6th International Conference on Vehicle Technology and Intelligent Transport Systems, VEHITS 2020, Prague, Czech Republic, May 2-4, 2020, 4040–447, SciTePress
Holographic wave front printing for fabrication of reflection holograms with arbitrary recording wave fronts
Hofmann, J.; Fiess, R.; Stork, W.
2020. Practical Holography XXXIV: Displays, Materials, and Applications. Ed.: H. I. Bjelkhagen, Article no: 1130602, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2543482
A calibration method for automotive augmented reality head-up displays using a chessboard and warping maps
Gao, X.; Werner, J.; Necker, M.; Stork, W.
2020. 12th International Conference on Machine Vision (ICMV 2019), 26, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2557039
Distributed Ledger Technology for the systematic Investigation and Reduction of Information Asymmetry in Collaborative Networks
Schinle, M.; Erler, C.; Stork, W.
2020. 53rd Hawaii International Conference on System Sciences (HICSS 2020), Grand Wailea, HI, January 7-10, 2020
Model-Based Design of Service-Oriented Architectures for Reliable Dynamic Reconfiguration
Oszwald, F.; Obergfell, P.; Liu, B. B.; Victor Pazmino; Becker, J.
2020. WCX 2020 SAE World Congress Experience, Detroit, MI, April 21-23, 2020. Proceedings. doi:10.4271/2020-01-1364
Evaluation Methodologies in the Development of Dynamically Reconfigurable Systems in the Automotive Industry
Oszwald, F.; Bertelo, R.; Gericota, M.; Becker, J.
2020. WCX SAE World Congress Experience, Detroit, MI, April 21-23, 2020. Proceedings. doi:10.4271/2020-01-1363
Towards Design and Verification of Evolving Cyber Physical Systems Using Contract-Based Methodology
Guissouma, H.; Leiner, S.; Sax, E.
2020. ISSE 2019 - 5th IEEE International Symposium on Systems Engineering, Edinburgh, Scotland, UK, October 1 - 3, 2019, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSE46696.2019.8984478
An Evolutionary Approach to Hyper-Parameter Optimization of Neural Networks
Stang, M.; Meier, C.; Rau, V.; Sax, E.
2020. Human Interaction and Emerging Technologies. Ed.: T. Ahram, 713–718, Springer International Publishing. doi:10.1007/978-3-030-25629-6_111
Using Machine Learning to Optimize Energy Consumption of HVAC Systems in Vehicles
Böhme, M.; Lauber, A.; Stang, M.; Pan, L.; Sax, E.
2020. Human Interaction and Emerging Technologies. Ed.: T. Ahram, 706–712, Springer International Publishing. doi:10.1007/978-3-030-25629-6_110
Automatically reconfigurable actuator control for reliable autonomous driving functions (AutoKonf)
Orlov, S.; Korte, M.; Oszwald, F.; Vollmer, P.
2020. 10th International Munich Chassis Symposium 2019 : chassis.tech plus. Ed.: P. E. Pfeffer, 355–368, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-26435-2_26
Posters
Fast image reconstruction in ultrasound transmission tomography by U-net
Wang, H.; Qian, X.; Gemmeke, H.; Hopp, T.; Ruiter, N. V.; Hesser, J.
2020. IEEE Nuclear Science Symposium and Medical Imaging Conference (NSS/MIC 2020), Online, October 31–November 7, 2020
2019
Book Chapters
Validation of range estimation for electric vehicles based on recorded real-world driving data
Petersen, P.; Langner, J.; Otten, S.; Sax, E.; Scheubner, S.; Vaillant, M.; Fünfgeld, S.; Porsche, F.
2019. 19. Internationales Stuttgarter Symposium : Automobil- und Motorentechnik. Hrsg.: Michael Bargende, Hans-Christian Reuss, Andreas Wagner, Jochen Wiedemann, 331–344, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-25939-6_29
Potential of Virtual Test Environments for the Development of Highly Automated Driving Functions Using Neural Networks
Pfeffer, R.; Ukas, P.; Sax, E.
2019. Fahrerassistenzsysteme 2018 : Von der Assistenz zum automatisierten Fahren 4. Internationale ATZ-Fachtagung Automatisiertes Fahren. Ed.: T. Bertram, 203–211, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-23751-6_18
A Hybrid Anomaly Detection System for Electronic Control Units Featuring Replicator Neural Networks
Weber, M.; Pistorius, F.; Sax, E.; Maas, J.; Zimmer, B.
2019. Advances in Information and Communication Networks – Proceedings of the 2018 Future of Information and Communication Conference (FICC), Singapore, 5-6 April 2018. Vol. 2. Ed.: K. Arai, 43–62, Springer. doi:10.1007/978-3-030-03405-4_4
Journal Articles
The very forward hadron calorimeter PSD for the future CBM@FAIR experiment
CBM Collaboration; Mikhaylov, V.; Kugler, A.; Kushpil, V.; Svoboda, O.; Tlustý, P.; Golubeva, M.; Guber, F.; Ivashkin, A.; Morozov, S.; Klochkov, V.; Selyuzhenkov, I.; Senger, A.; Bondarenko, S.; Burov, V.; Malakhov, A.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; et al.
2019. The European physical journal / Web of Conferences, 204, Art.-Nr.: 11004. doi:10.1051/epjconf/201920411004
The Projectile Spectator Detector for measuring the geometry of heavy ion collisions at the CBM experiment on FAIR
CBM Collaboration; Karpushkin, N.; Finogeev, D.; Golubeva, M.; Guber, F.; Ivashkin, A.; Izvestnyy, A.; Ladygin, V.; Morozov, S.; Kugler, A.; Mikhaylov, V.; Senger, A.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; et al.
2019. Nuclear instruments & methods in physics research / A, 936, 156–157. doi:10.1016/j.nima.2018.10.054
Exploring Cosmic Matter in the Laboratory—The Compressed Baryonic Matter Experiment at FAIR
CBM Collaboration; Senger, P.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2019. Particles, 2 (4), 499–510. doi:10.3390/particles2040031
Suitability of Virtual Reality for Vision Simulation – A Case Study using Glaucomatous Visual Fields
Stock, S. C.; Erler, C.; Stork, W.; Labuz, G.; Son, H. S.; Khoramnia, R.; Auffarth, G. U.
2019. Investigative ophthalmology & visual science, 60 (9), Abstract 2441
Study of the B +→ J / ψ Λ ¯ p decay in proton-proton collisions at √s = 8 TeV
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Bergauer, T.; Brandstetter, J.; Dragicevic, M.; Erö, J.; Escalante Del Valle, A.; Flechl, M.; Frühwirth, R.; Jeitler, M.; Krammer, N.; Krätschmer, I.; Liko, D.; Madlener, T.; Mikulec, I.; Rad, N.; Schieck, J.; et al.
2019. Journal of high energy physics, 2019 (12), Art. Nr.: 100. doi:10.1007/JHEP12(2019)100
Angle-compensated holographic wave front printing for the fabrication of holographic optical elements operating in the infrared
Hofmann, J.; Friedel, A.-K.; Fiess, R.; Stork, W.
2019. Optical engineering, 59 (10), Article no: 102405. doi:10.1117/1.OE.59.10.102405
An Efficient High-Throughput Generic QAM Transmitter with Scalable Spiral FIR Filter
Figuli, S. P. D.; Becker, J.
2019. Journal of circuits, systems, and computers, 28 (1), Art. Nr.: 1950015. doi:10.1142/S0218126619500154
Automatic pupil detection using off-axis iris images for alignment guidance in fundus cameras
Dave, P.; Wei, A.; Nolan, D.; Stock, S.; Guo, J.; Covita, A.; Chen, M.; Straub, J.; Durbin, M.; Manivannan, N.
2019. Investigative ophthalmology & visual science, 60 (11), PB040
Search for Dark Matter Particles Produced in Association with a Top Quark Pair at √s=13  TeV
CMS Collaboration; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Asilar, E.; Bergauer, T.; Brandstetter, J.; Dragicevic, M.; Erö, J.; Escalante Del Valle, A.; Flechl, M.; Frühwirth, R.; Ghete, V. M.; Hrubec, J.; Jeitler, M.; Krammer, N.; Krätschmer, I.; Liko, D.; Madlener, T.; et al.
2019. Physical review letters, 122 (1), Art. Nr.: 011803. doi:10.1103/PhysRevLett.122.011803
Evidence for light-by-light scattering in ultraperipheral PbPb collisions at root S-NN=5.02 TeV
CMS Collaboration; d’Enterria, D.; Sirunyan, A. M.; Tumasyan, A.; Adam, W.; Ambrogi, F.; Asilar, E.; Bergauer, T.; Brandstetter, J.; Dragicevic, M.; Eroe, J.; Del Valle, A. E.; Flechl, M.; Fruehwirth, R.; Ghete, V. M.; Hrubec, J.; Jeitler, M.; Krammer, N.; Kraetschmer, I.; Liko, D.; et al.
2019. (CMS Collaboration, Ed.) Nuclear physics <Amsterdam> / A, 982, 791–794. doi:10.1016/j.nuclphysa.2018.10.018
Mobilität der Zukunft auf dem Testfeld Autonomes Fahren Baden-Württemberg, Roboterautos nehmen Fahrt auf!
Lauber, A.
2019. E-Mobilität in der Metropolregion Rhein-Neckar, 34–35
Real Data Based Validation of Highly Automated Driving Functions Using Simulation Methods
Pfeffer, R.; Sax, E.; Schmidt, S.
2019. ATZelectronics worldwide, 14 (11), 24–29. doi:10.1007/s38314-019-0116-3
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications
Reder, S.; Kempf, F.; Bucher, H.; Becker, J.; Alefragis, P.; Voros, N.; Skalistis, S.; Derrien, S.; Puaut, I.; Oey, O.; Stripf, T.; Ferdinand, C.; David, C.; Ulbig, P.; Mueller, D.; Durak, U.
2019. Journal of aerospace information systems, 16 (11), 521–533. doi:10.2514/1.I010749
Methodical approach for the development of a platform for the configuration and operation of turnkey production systems
Gönnheimer, P.; Kimmig, A.; Mandel, C.; Stürmlinger, T.; Yang, S.; Schade, F.; Ehrmann, C.; Klee, B.; Behrendt, M.; Schlechtendahl, J.; Fischer, M.; Trautmann, K.; Fleischer, J.; Lanza, G.; Ovtcharova, J.; Becker, J.; Albers, A.
2019. Procedia CIRP, 84, 880–885. doi:10.1016/j.procir.2019.04.260
Evaluation of a high-throughput communication link for future automotive ADAS controllers
Yigui, L.; Youteng, S.; Schade, F.; Hotfilter, T.; Becker, J.; Yuan, Z.; Zizhou, O.; Weiming, L.
2019. Proceedings of the Institution of Mechanical Engineers / D, 233 (9), 2371–2378. doi:10.1177/0954407019851334
Modular smart controller for Industry 4.0 functions in machine tools
Barton, D.; Gönnheimer, P.; Schade, F.; Ehrmann, C.; Becker, J.; Fleischer, J.
2019. Procedia CIRP, 81, 1331–1336. doi:10.1016/j.procir.2019.04.022
Radiation tolerance study on irradiated AC-coupled, poly-silicon biased, p-on-n silicon strip sensors developed in India
Jain, G.; Jain, C.; Bhardwaj, A.; Ranjan, K.; Dierlamm, A.; Hartmann, F.; Demarteau, M.
2019. Nuclear instruments & methods in physics research / A, 913, 97–102. doi:10.1016/j.nima.2018.10.118
Conference Papers
Establishing and Enhancing Agility with Model-based Systems Engineering
Oszwald, F.; Obergfell, P.; Meseth, M.; Chamas, M.; Traub, M.; Becker, J.; Sax, E.
2019. Proceedings of the 19th International Congress Electronics in Vehicles (ELIV), 16. - 17. Oktober 2019
Positioning of distributed weather overhead line monitoring based on historical weather data
Molinar, G.; Li, M.; Stork, W.
2019. 2019 IEEE AFRICON, AFRICON 2019; GIMPA Executive Conference CenterAccra; Ghana; 25 September 2019 through 27 September 2019, Art. Nr.: 9134046, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/AFRICON46755.2019.9134046
A Network on Chip Adapter for Real-Time and Safety-Critical Applications
Kempf, F.; Anantharajaiah, N.; Masing, L.; Becker, J.
2019. 32nd IEEE International System on Chip Conference, SOCC 2019; Singapore; Singapore; 3 September 2019 through 6 September 2019. Ed.: D. Zhao, 39–44, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC46988.2019.1570558594
Reliable Fail-Operational Automotive E/E-Architectures by Dynamic Redundancy and Reconfiguration
Oszwald, F.; Obergfell, P.; Traub, M.; Becker, J.
2019. 32nd IEEE International System on Chip Conference, SOCC 2019; Singapore; Singapore; 3 September 2019 through 6 September 2019. Ed.: D. Zhao, 203–208, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC46988.2019.1570547977
Lina: Timing-constrained high-level synthesis performance estimator for fast DSE
Bannwart Perina, A.; Becker, J.; Bonato, V.
2019. 2019 International Conference on Field-Programmable Technology : ICFPT 2019 : Tianjin, China, 9-13 December 2019 : proceedings, 343–346, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICFPT47387.2019.00063
Optimized real-time control for modular multilevel converters using adaptive neural networks
Kraus, D.; Specht, E.; Merz, T.; Hiller, M.
2019. 2019 21st European Conference on Power Electronics and Applications (EPE ’19 ECCE Europe), 3-5 September 2019, Genova, Italy, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/EPE.2019.8915464
ProfCounter: Line-Level Cycle Counter for Xilinx OpenCL High-Level Synthesis
Perina, A. B.; Becker, J.; Bonato, V.
2019. 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS 2019), 618–621, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICECS46596.2019.8964669
From MOSFETs to Ambipolar Transistors: A Static DeFET Inverter Cell for SOI
Reuter, M.; Krauss, T. A.; Moradinasab, M.; Pfau, J.; Schwalke, U.; Becker, J.; Hofmann, K.
2019. Proceedings. 2019 IEEE Asia Pacific Conference on Circuits and Systems : Royal Orchind Sheraton Hotel and Towers Bangkok, Thailand, November 11-14, 2019, 113–116, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/APCCAS47518.2019.8953083
Positioning of distributed weather stations for overhead line monitoring systems based on historical weather data
Molinar, G.; Li, M.; Stork, W.
2019. IEEE AFRICON-2019, Accra, GH, September 25-27, 2019
DeepBees-Building and Scaling Convolutional Neuronal Nets For Fast and Large-Scale Visual Monitoring of Bee Hives [in press]
Marstaller, J.; Tausch, F.; Stock, S.
2019. ICCV - International Conference on Computer Vision 2019, Oct. 27 - Nov. 2, 2019, Seoul, Korea
VitalCamSet - a dataset for Photoplethysmography Imaging
Blocher, T.; Krause, S.; Zhou, K.; Zeilfelder, J.; Stork, W.
2019. 14th IEEE Sensors Applications Symposium (SAS 2019), Sophia Antipolis, F, March 11-13, 2019, Article no: 8705999, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2019.8705999
A Calibration Method For Automotive Augmented Reality Head-Up Displays Based On A Consumer-Grade Mono-Camera
Gao, X.; Werner, J.; Necker, M.; Stork, W.
2019. 26th IEEE International Conference on Image Processing (ICIP 2019), Taipai, RC, September 22-25, 2019, 4355–4359, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICIP.2019.8803608
Dynamic and scalable runtime block-based multicast routing for networks on chips
Anantharajaiah, N.; Kempf, F.; Masing, L.; Lesniak, F. M.; Becker, J.
2019. Proceedings of the 12th International Workshop on Network on Chip Architectures (NoCArc 2019), Columbus, OH, Ocober 12-13, 2019, 1–6, Association for Computing Machinery (ACM). doi:10.1145/3356045.3360718
Reconfigurable Module of Multi-mode AES Cryptographic Algorithms for AP SoCs
Silitonga, A.; Jiang, Z.; Khan, N.; Becker, J.
2019. 2019 IEEE Nordic Circuits and Systems Conference (NorCAS), Helsinki, FIN, October 29-30, 2019, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NORCHIP.2019.8906923
An Open Multimodal Mobility Platform Based on Distributed Ledger Technology
Lamberti, R.; Fries, C.; Lücking, M.; Manke, R.; Kannengießer, N.; Sturm, B.; Komarov, M. M.; Stork, W.; Sunyaev, A.
2019. Internet of Things, Smart Spaces, and Next Generation Networks and Systems: 19th International Conference, NEW2AN 2019, and 12th Conference, ruSMART 2019, St. Petersburg, Russia, August 26-28, 2019, Proceedings. Ed.: O. Galinina, 41–52, Springer. doi:10.1007/978-3-030-30859-9_4
Automated Driving - Challenges for the Automotive Industry in Product Development with Focus on Process Models and Organizational Structure
Pfeffer, R.; Basedow, G. N.; Thiesen, N. R.; Spadinger, M.; Albers, A.; Sax, E.
2019. 13th Annual IEEE International Systems Conference (SysCon 2019), Orlando, FL, April 8-11,2019, Article no: 8836779, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SYSCON.2019.8836779
Fault tree-based Derivation of Safety Requirements for Automated Driving on the Example of cooperative Valet Parking
Schönemann, V.; Winner, H.; Glock, T.; Sax, E.; Boeddeker, B.; Verhaeg, G.; Tronci, F.; Garcia Padilla, G.; Dorff, S. vom
2019. Technology: enabling a safer tomorrow : 26th Enhanced Safety of Vehicles Conference (26th ESV 19) : Eindhoven, the Netherlands, 10-13 June 2019, 19–0099-O, Curran
A cross-domain functional safety approach of System of Systems on example Valet Parking [in press]
Glock, T.; Hohl, C. P.; Otten, S.; Nefedov, P.; Sax, E.
2019. 5th IEEE International Symposium on Systems Engineering (ISSE), Edinburgh, Scotland, UK, October 1 - 3 2019
Evaluation of Feature Selection for Anomaly Detection in Automotive E/E Architectures
Segler, C.; Kugele, S.; Obergfell, P.; Hafeez Bin Osman, M.; Shafaei, S.; Sax, E.; Knoll, A.
2019. Proceedings 2019 IEEE/ACM 41st International Conference on Software Engineering: Companion Proceedings ICSE-Companion 2019, 25-31 May 2019, Montreal, Canada, 260–261, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICSE-Companion.2019.00104
Weather Influence and Classification with Automotive Lidar Sensors
Heinzler, R.; Schindler, P.; Seekircher, J.; Ritter, W.; Stork, W.
2019. 2019 IEEE Intelligent Vehicles Symposium (IV), Paris, F, June 9-12, 2019, 1527–1534, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IVS.2019.8814205
Using acceleration data for detecting temporary cognitive overload in health care exemplified shown in a pill sorting task
Kohout, L.; Butz, M.; Stork, W.
2019. 32nd IEEE International Symposium on Computer-Based Medical Systems, CBMS 2019; Instituto Maimonides de Investigacion Biomedica de CordobaCordoba; Spain; 5 June 2019 through 7 June 2019, 20–25, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/CBMS.2019.00015
Concept for a Permanent, Non-Invasive Blood Pressure Measurement in the Ear
Zeilfelder, J.; Diehl, M.; Pylatiuk, C.; Stork, W.
2019. Proceedings 2019 IEEE EMBS International Conference on Biomedical & Health Informatics (BHI), Chicago, IL, USA, 19-22 May 2019, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BHI.2019.8834671
Entwicklung eines Informationsmodells für eine Hochintegrationsplattform im Automotive-Umfeld [in press]
Chamas, M.; Hopfensitz, W.; Obergfell, P.; Oszwald, F.; Traub, M.
2019. Tag des Systems Engineering, München, 06.-08. November 2019. Hrsg.: Gesellschaft für Systems Engineering e.V
Cross-Layer Behavioral Modeling and Simulation of E/E-Architectures using PREEvision and Ptolemy II : [extended version]
Bucher, H.; Kamm, S.; Becker, J.
2019. Proceedings of the 51st Summer Computer Simulation Conference, SummerSim 2019, ACM, Art.-Nr. 18, Society for Computer Simulation International. doi:10.5555/3374138.3374156
Reliable Fail-Operational Automotive E/E-Architectures by Dynamic Redundancy and Reconfiguration [in press]
Oszwald, F.; Obergfell, P.; Traub, M.; Becker, J.
2019. Proceedings of the 32nd IEEE International System-on-Chip Conference (SOCC), Singapur, 3 - 6 September 2019
Ampacity forecasting: an approach using Quantile Regression Forests
Molinar, G.; Fan, L. T.; Stork, W.
2019. IEEE Power & Energy Society Innovative Smart Grid Technologies Conference (ISGT), Washington, DC, USA, 18-21 Feb. 2019, 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISGT.2019.8791615
Integrating Static Code Analysis Toolchains
Kern, M.; Erata, F.; Iser, M.; Sinz, C.; Loiret, F.; Otten, S.; Sax, E.
2019. IEEE 43rd Annual Computer Software and Applications Conference (COMPSAC), Milwaukee, Wisconsin, USA, 15-19 July 2019, 523–528, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/COMPSAC.2019.00080
Using Machine Learning to Optimize Energy Consumption of HVAC Systems in Vehicles
Martin Boehme, A. L., Marco Stang, Luyi Pan, Eric Sax
2019. Human Interaction and Emerging Technologies: Proceedings of the 1st International Conference on Human Interaction and Emerging Technologies (IHIET 2019), August 22-24, 2019, Nice, France. Eds.: Tareq Ahram, 706–712, Springer US. doi:10.1007/978-3-030-25629-6
Extended holographic wave front printer setup employing two spatial light modulators
Hofmann, J.; Fiess, R.; Kick, M.; Stork, W.
2019. Holography: Advances and Modern Trends VI - SPIE Optics + Optoelectronics, Prague, Czech Republic, 1-4 APRIL 2019. Ed.: A. Fimia, Art.Nr. 110300N, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2520064
Model-Driven Design of Tools for Multi-Domain Systems with Loosely Coupled Metamodels [in press]
Liu, B.; Glock, T.; Sax, E.; Pazmino Betancourt, V.; Kern, M.; Becker, J.
2019. Annual IEEE International Systems Conference, Orlando, USA, 8 - 11 April 2019
Optimizing the First Level Neural Network z-Trigger for the Drift Chamber at the Belle II Experiment
McCarney, S.; Kiesling, C.; Meggendorfer, F.; Skambraks, S.; Van Tonder, R.; Baehr, S.
2019. Connecting the Dots and Workshop on Intelligent Trackers (CTD/WIT 2019), València, E, April 2-5, 2019
Low Latency Neural Networks using Heterogenous Resources on FPGA for the Belle II Trigger
Baehr, S.; Poehler, J.; Unger, K.; Hochstuhl, A.; Becker, J.; Skambraks, S.; McCarney, S.; Meggendorfer, F.; Kiesling, C.
2019. Connecting the Dots and Workshop on Intelligent Trackers (CTD/WIT 2019), València, E, April 2-5, 2019
Training and Validation Methodology for Range Estimation Algorithms
Petersen, P.; Thorgeirsson, A.; Scheubner, S.; Otten, S.; Gauterin, F.; Sax, E.
2019. Proceedings of the 5th International Conference on Vehicle Technology and Intelligent Transport Systems. Vol.1, 434–443, SciTePress. doi:10.5220/0007717004340443
Scenario-Based Functional Safety for Automated Driving on the Example of Valet Parking
Schönemann, V.; Winner, H.; Glock, T.; Otten, S.; Sax, E.; Boeddeker, B.; Verhaeg, G.; Tronci, F.; Padilla, G. G.
2019. Advances in Information and Communication Networks: Proceedings of the 2018 Future of Information and Communication Conference (FICC), Singapore, SGP, April 5-6, 2018. Vol. 1. Ed.: K. Arai, 53–64, Springer International Publishing. doi:10.1007/978-3-030-03402-3_5
Virtual Test Environment for Efficient Verification of Software Updates for Variant-Rich Automotive Systems
Guissouma, H.; Lauber, A.; Mkadem, A.; Sax, E.
2019. The 13th Annual IEEE International Systems Conference (SysCon), Orlando, FL, April 8 –11, 2019, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SYSCON.2019.8836898
Automated Function Assessment in Driving Scenarios
King, C.; Ries, L.; Kober, C.; Wohlfahrt, C.; Sax, E.
2019. 2019 12th IEEE Conference on Software Testing, Validation and Verification (ICST), 414–419, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICST.2019.00050
Logical Scenario Derivation by Clustering Dynamic-Length-Segments Extracted from Real-World-Driving-Data –
Langner, J.; Grolig, H.; Otten, S.; Holzäpfel, M.; Sax, E.
2019. Proceedings of the 5th International Conference on Vehicle Technology and Intelligent Transport Systems, VEHITS 2019, Heraklion, Crete, Greece, May 3 - 5, 2019, 458–467, SciTePress. doi:10.5220/0007723304580467
Anomaly Detection for Advanced Driver Assistance Systems Using Online Feature Selection
Segler, C.; Obergfell, P.; Shafaei, S.; Kugele, S.; Osman, M. H.; Sax, E.
2019. 30th IEEE Intelligent Vehicles Symposium (IV19), Paris, F, June 9-12, 2019, 578–585, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IVS.2019.8814175
Model-Based Resource Analysis and Synthesis of Service-Oriented Automotive Software Architectures
Obergfell, P.; Sax, E.; Kugele, S.
2019. IEEE / ACM 22nd International Conference on Model Driven Engineering Languages and Systems (MODELS), Munich, Germany, 15-20 September 2019
Applied Machine Learning: Reconstruction of Spectral Data for the Classification of Oil-Quality Levels
Stang, M.; Böhme, M.; Sax, E.
2019. 5th International Conference on Research in Engineering, Technology and Science (ICRETS 2019), Lissabon, P, February 3-7, 2019, 1–13, ISRES Publishing
A jaw based human-machine interface with machine learning
Busch, T.; Zeilfelder, J.; Zhou, K.; Stork, W.
2019. 14th IEEE Sensors Applications Symposium, SAS 2019; Sophia Antipolis; France; 11 March 2019 through 13 March 2019, Art. Nr.: 8706020, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2019.8706020
AI – Challenges in application with bus data in the automotive sector
Faul, A.; Floruß, M.; Pistorius, F.
2019. 19. Internationales Stuttgarter Symposium Automobil- und Motorentechnik, Stuttgart, 19. -20. März 2019. Hrsg.: M. Bargende, 1143–1153, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-25939-6
A secure framework with remote configuration of intellectual property
Khan, N.; Nitzsche, S.; Becker, J.
2019. 5th International Conference on Information Systems Security and Privacy, ICISSP 2019; Prague; Czech Republic; 23 February 2019 through 25 February 2019. Ed.: P. Mori, 564–571, SciTePress. doi:10.5220/0007576305640571
Hybrid Prototyping for Manycore Design and Validation
Masing, L.; Lesniak, F.; Becker, J.
2019. 15th International Symposium on Applied Reconfigurable Computing, ARC 2019; Darmstadt; Germany; 9 April 2019 through 11 April 2019, 319–333. doi:10.1007/978-3-030-17227-5_23
Autonomous Driving of Commercial Vehicles within Cordoned Off Terminals
Brenner, N.; Lauber, A.; Eckert, C.; Sax, E.
2019. VEHITS 2019 : proceedings of the 5th International Conference on Vehicle Technology and Intelligent Transport Systems : Heraklion, Crete, Greece, May 3-5, 2019. Ed.: Oleg Gusikhin, 521–527, SciTePress. doi:10.5220/0007747905210527
Integration of Attribute-based Access Control into Automotive Architectures
Rumez, M.; Duda, A.; Gründer, P.; Kriesten, R.; Sax, E.
2019. 30th IEEE Intelligent Vehicles Symposium (IV19), Paris, Frankreich, 9. - 12. Juni 2019, 1916–1922, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IVS.2019.8814265
Secure Local Configuration of Intellectual Property Without a Trusted Third Party
Khan, N.; Silitonga, A.; Pachideh, B.; Nitzsche, S.; Becker, J.
2019. Applied reconfigurable computing : 15th international symposium, ARC 2019, Darmstadt, Germany, April 9-11, 2019 : proceedings, 137–146, Springer. doi:10.1007/978-3-030-17227-5_11
Leveraging the Partial Reconfiguration Capability of FPGAs for Processor-Based Fail-Operational Systems
Dörr, T.; Sandmann, T.; Schade, F.; Bapp, F. K.; Becker, J.
2019. Applied Reconfigurable Computing – 15th International Symposium, ARC 2019, Darmstadt, 9.-11. April 2019, 96–111, Springer. doi:10.1007/978-3-030-17227-5_8
Automated Driving – Challenges for the Automotive Industry in Product Development with Focus on Process Models and Organizational Structure
Pfeffer, R.; Basedow, G. N.; Thiesen, N.; Spadinger, M.; Albers, A.; Sax, E.
2019. 13th Annual IEEE International Systems Conference, SYSCON 2019, Orlando, Florida, 8th-11th April 2019, 6
Service-Based Industry 4.0 Middleware for Partly Automated Collaborative Work of Cranes
Glock, T.; Betancourt, V. P.; Kern, M.; Liu, B.; Reiß, T.; Sax, E.; Becker, J.
2019. 8th International Conference on Industrial Technology and Management (ICITM 2019), Cambridge, UK, March 2-4, 2019
Continuous Software Engineering of Innovative Automotive Functions: An Industrial Perspective
Obergfell, P.; Kugele, S.; Segler, C.; Knoll, A.; Sax, E.
2019. Joint Workshop CSE/QUDOS 2019. 4th Workshop on Continuous Software Engineering and 5th International Workshop on Quality-Aware DevOps, Hamburg, 26. März 2019
Inter-limb Coordination assessment and fall risk in ADL
Pozaic, T.; Grebe, A.-K.; Grollmuss, M.; Haeberlen, N.; Stork, W.
2019. International Conference on Biomedical and Health Informatics: ICBHI 2015, Haikou, China, 8-10 October 2015. Ed.: Y.-T. Zhang, 1–5, Springer Verlag. doi:10.1007/978-981-10-4505-9_1
Classification of Automotive Electric/Electronic Features and the Consequent Hierarchization of the Logical System Architecture – From Functional Chains to Functional Networks
Bach, J.; Otten, S.; Sax, E.
2019. Smart Cities, Green Technologies, and Intelligent Transport Systems – 6th International Conference, SMARTGREENS 2017, and Third International Conference, VEHITS 2017, Porto, Portugal, April 22-24, 2017. Ed.: B. Donnellan, 229–255, Springer International Publishing. doi:10.1007/978-3-030-02907-4_12
Automated Assessment of E/E-Architecture Variants Using an Integrated Model- and Simulation-Based Approach
Bucher, H.; Neubauer, K.; Becker, J.
2019. WCX SAE World Congress Experience, Detroit, USA, April 9-11 2019, 14 S., SAE International. doi:10.4271/2019-01-0111
Cross-Layer Behavioral Modeling and Simulation of E/E-Architectures using PREEvision and Ptolemy II
Bucher, H.; Kamm, S.; Becker, J.
2019. ASIM-Workshop Simulation Technischer Systeme / Grundlagen und Methoden in Modellbildung und Simulation, Braunschweig, Germany, 21. Februar 2019 - 22. Februar 2019. Ed.: U. Durak, 7–12, ARGESIM. doi:10.11128/arep.57
Reports/Preprints
CAN Radar: Sensing Physical Devices in CAN Networks based on Time Domain Reflectometry
Rumez, M.; Dürrwang, J.; Brecht, T.; Steinshorn, T.; Neugebauer, P.; Kriesten, R.; Sax, E.
2019
Measurement of the integrated luminosity of the Phase 2 data of the Belle II experiment
Belle 2 Collaboration; Bähr, S.; Becker, J.; Unger, K.
2019
Presentations
Ampacity forecasting using machine learning: an approach based on distributed weather measurements
Molinar, G.
2019, March 22. Cigré TAG 4, Working Group B2.59 (2019), Hakodate, Japan, April 21–22, 2019
The QUA³CK Machine Learning Development Process and the Laboratory for Applied Machine Learning Approaches (LAMA)
Becker, J.; Grimm, D.; Hotfilter, T.; Meier, C.; Molinar, G.; Stang, M.; Stock, S.; Stork, W.
2019, October 22. Symposium Artificial Intelligence for Science, Industry and Society (AISIS 2019), Mexico City, Mexico, October 20–December 25, 2019
Posters
Automated vehicle depots as an initial step for an automated public transportation
Lauber, A.; Brenner, N.; Sax, E.
2019, June 10. UITP Global Public Transport Summit (2019), Stockholm, Sweden, June 9–12, 2019
Suitability of Virtual Reality for Vision Simulation – A Case Study using Glaucomatous Visual Fields
Stock, S. C.; Erler, C.; Stork, W.; Labuz, G.; Son, H. S.; Khoramnia, R.; Auffarth, G. U.
2019. ARVO Annual Meeting (2019), Vancouver, Canada, April 26–27, 2019
Evaluation of Feature Selection for Anomaly Detection in Automotive E/E Architectures
Segler, C.; Kugele, S.; Obergfell, P.; Hafeez Bin Osman, M.; Shafaei, S.; Sax, E.; Knoll, A.
2019. 41st International Conference on Software Engineering (ICSE 2019), Montreal, Canada, May 25–31, 2019
2018
Book Chapters
Automated Description of ECU-Behavior
Steinvorth, B.; Sax, E.
2018. Commercial Vehicle Technology 2018. Ed.: K. Berns, 309–323, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-21300-8_25
Automated Assessment and Evaluation of Digital Test Drives
Otten, S.; Bach, J.; Wohlfahrt, C.; King, C.; Lier, J.; Schmid, H.; Schmerler, S.; Sax, E.
2018. Advanced Microsystems for Automotive Applications 2017 - Smart Systems Transforming the Automobile. Ed.: C. Zachäus, 189–200, Springer. doi:10.1007/978-3-319-66972-4_16
Advances in Avionic Platforms : Multi-Core Systems
Bapp, F.; Becker, J.
2018. Advances in Aeronautical Informatics : Technologies Towards Flight 4.0. Ed.: U. Durak, 17–27, Springer. doi:10.1007/978-3-319-75058-3_2
Books
Advances in Aeronautical Informatics
Durak, U. D.; Becker, J.; Hartmann, S.; Voros, N. S.
2018. Springer International Publishing. doi:10.1007/978-3-319-75058-3
PhD Theses
Objective assessment of motor and gait parameters of patients with multiple sclerosis. PhD dissertation
Shammas, L.
2018. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000084201
Kollektive Energieeffizienz auf mehrspurigen Straßen. PhD dissertation
Kramer, J.
2018. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000082907
Aufbau eines holographischen Wellenfrontdruckers zur Herstellung neuartiger Optiken. PhD dissertation
Kick, M.
2018. Karlsruher Institut für Technologie (KIT)
Adaptives Monitoring für Mehrkernprozessoren in eingebetteten sicherheitskritischen Systemen. PhD dissertation
Bapp, F. K.
2018. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000079234
A Wearable Platform for Patient Monitoring during Mass Casualty Incidents. PhD dissertation
Rodriguez Martinez, J. D.
2018. KIT Scientific Publishing. doi:10.5445/KSP/1000051989
Journal Articles
Event Topology Reconstruction in the CBM Experiment
CBM Collaboration; Kisel, I.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2018. Journal of Physics: Conference Series, 1070, 012015. doi:10.1088/1742-6596/1070/1/012015
Fahrzeugsensoren als Echtzeit- Informationsquelle für die Qualität der Straßenverkehrsinfrastruktur = Real-time Measurement of Road Quality Using On-Board Vehicle Sensors
Düsterhöft, F.; Heger, T.; Hofmockel, J.; Klee, P.-A.; Klöpfer, C.; Laubis, K.; Schmidt-Sautter, M.; Masino, J.
2018. Straße und Autobahn, 69 (4), 294–302
Sequential and non-sequential simulation of volume holographic gratings
Kick, M.; Fieß, R.; Stork, W.
2018. Journal of the European Optical Society / Rapid publications, 14 (1), Art.-Nr.: 15. doi:10.1186/s41476-018-0082-z
Considering the movement of a laser for its classification
Heussner, N.; Reppich, R.; Frederiksen, A.
2018. LIA today, 26 (5), 33–35
Modellbasierte Design-Space-Exploration des Fahrzeugenergie-Bordnetzes
Braun, L.; Sax, E.
2018. ATZ-Elektronik worldwide, 13 (3), 62–66
OpenCL-based Virtual Prototyping and Simulation of Many-Accelerator Architectures
Sotiriou-Xanthopoulos, E.; Masing, L.; Xydis, S.; Siozios, K.; Becker, J.; Soudris, D.
2018. ACM transactions on embedded computing systems, 17 (5), Article: 86. doi:10.1145/3242179
Autonomous driving in public transportation depots
Lauber, A.; Sax, E.; Wiedemann, M.
2018. ATZ worldwide, 120 (6), 68–71. doi:10.1007/s38311-018-0051-6
Autonomes Fahren auf dem Busbetriebshof
Lauber, A.; Sax, E.; Wiedemann, M.
2018. Automobiltechnische Zeitschrift, 120 (6), 74–77. doi:10.1007/s35148-018-0047-y
Multiple vehicle fusion for a robust road condition estimation based on vehicle sensors and data mining
Hofmockel, J.; Masino, J.; Thumm, J.; Sax, E.; Gauterin, F.
2018. Cogent Engineering, 5 (1), Article: 14494278. doi:10.1080/23311916.2018.1449428
Conference Papers
Level-1 track trigger with Central Drift Chamber detector in Belle II experiment
Lai, Y.-T.; Baehr, S.; Chang, M. C.; Iwasaki, Y.; Kim, J. B.; Kim, K. T.; Kiesling, C.; Koga, T.; Lu, P. C.; Liu, S. M.; Meggendorfer, F.; Moon, H. K.; Moon, T. J.; Nakazawa, H.; Shiu, J. G.; Skambraks, S.; Sheng, T. Z.; Unger, K.; Wang, C. H.; Won, E.
2018. 2018 IEEE Nuclear Science Symposium and Medical Imaging Conference / 25th International Symposium on Room-Temperature Semiconductor X-Ray and Gamma-Ray Detectors (IEEE NSS/MIC 2018), Sydney, AUS, November 10-17, 2018, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NSSMIC.2018.8824506
Highly integrated sic-power modules for ultra-fast lithium-ion battery chargers in llc-topology
Blank, T.; An, B.; Bauer, D.; Jochem, P.; Luh, M.; Wurst, H.; Weber, M.
2018. International Exhibition and Conference for Power Electronics, Intelligent Motion, Renewable Energy and Energy Management, PCIM Europe 2018; Nuremberg; Germany; 5 June 2018 through 7 June 2018. Ed.: A. Scharf, 87–94, VDE Verlag
Using simulation techniques within the design of a reconfigurable architecture for fail-operational real-time automotive embedded systems
Oszwald, F.; Obergfell, P.; Traub, M.; Becker, J.
2018. 4th IEEE International Symposium on Systems Engineering, ISSE 2018; Rome Marriott Park HotelRoma; Italy; 1 October 2018 through 3 October 2018, Art. Nr.: 8544451, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysEng.2018.8544451
Realistic Simulation of Progressive Vision Diseases in Virtual Reality
Stock, S.; Erler, C.; Stork, W.
2018. Proceedings of the 24th ACM Symposium on Virtual Reality Software and Technology, 117:1–117:2, Association for Computing Machinery (ACM). doi:10.1145/3281505.3283395
HLS-based Performance and Resource Optimization of Cryptographic Modules
Silitonga, A.; Schade, F.; Jiang, G.; Becker, J.
2018. Proceedings of the 16th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA2018), Melbourne, Australia, 11th-13th December 2018, 1009–1016, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BDCloud.2018.00147
Synchronization between Run-Time and Design-Time View of Context-Aware Automotive System Architectures
Obergfell, P.; Segler, C.; Sax, E.; Knoll, A.
2018. 2018 IEEE International Systems Engineering Symposium (ISSE), October, 1 - 3, 2018, 1–3, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysEng.2018.8544454
Adaptive Behavior Generation for Autonomous Driving using Deep Reinforcement Learning with Compact Semantic States
Wolf, P.; Kurzer, K.; Wingert, T.; Kuhnt, F.; Zöllner, J. M.
2018. 2018 IEEE Intelligent Vehicles Symposium, IV 2018; Changshu, Suzhou; China; 26 September 2018 through 30 September 2018, 993–1000, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IVS.2018.8500427
Personalization of monitoring system parameters to support ambulatory care for dementia patients
Schinle, M.; Papantonis, I.; Stork, W.
2018. 2018 IEEE Sensors Applications Symposium (SAS), Seoul, March 12-14, 2018, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2018.8336724
A novel wearable sensor device for continuous monitoring of cardiac activity during sleep
Schneider, J.; Schroth, M.; Ottenbacher, J.; Stork, W.
2018. 2018 IEEE Sensors Applications Symposium (SAS), Seoul, ROK, March 12-14, 2018, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2018.8336725
A human-machine interface based on tongue and jaw movements
Zeilfelder, J.; Busch, T.; Zimmermann, C.; Stork, W.
2018. 2018 IEEE Sensors Applications Symposium (SAS), Seoul, ROK, March 12–14, 2018, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2018.8336751
An Adaptive Bandpass Filter Based on Temporal Spectrogram Analysis for Photoplethysmography Imaging
Blocher, T.; Zhou, K.; Krause, S.; Stork, W.
2018. 2018 IEEE 20th International Workshop on Multimedia Signal Processing (MMSP), Vancouver, CDN, August, 29-31, 2018, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MMSP.2018.8547129
RAW 2018 Invited Talks
Becker, J.; Prasanna, V. K.; Weimer, M.; Luk, W.; Aasaraai, K.; Chiou, D.
2018. 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), Vancouver, CDN, May 21-25, 2018, 81–82, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2018.00021
In-NoC Circuits for Low-Latency Cache Coherence in Distributed Shared-Memory Architectures
Masing, L.; Srivatsa, A.; Kreß, F.; Anantharajaiah, N.; Herkersdorf, A.; Becker, J.
2018. IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Hanoi, VN, September 12-14, 2018, 138–145, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC2018.2018.00033
Calculation of route-dependent energysaving potentials to optimize EV’s range
Kruppok, K.; Kriesten, R.; Sax, E.
2018. Automobil- und Motorentechnik: 18. Internationales Stuttgarter Symposium. Hrsg.: M. Bargende, 1349–1363, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-21194-3_106
Digitalization in automotive and industrial systems
Traub, M.; Vogel, H.-J.; Sax, E.; Streichert, T.; Harri, J.
2018. 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, 19.-23. März 2018, 1203–1204, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE.2018.8342198
A multi-objective optimization approach for analysing and architecting system of systems
Sillmann, B.; Glock, T.; Ghassemi, R.; Sax, E.
2018. 2018 Annual IEEE International Systems Conference (SysCon), Vancouver, CDN, April 23–26, 2018, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SYSCON.2018.8369581
Model-based validation and testing of industry 4.0 plants
Glock, T.; Sillman, B.; Kobold, M.; Rebmann, S.; Sax, E.
2018. 2018 Annual IEEE International Systems Conference (SysCon), Vancouver, CDN, April 23-26, 2018, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SYSCON.2018.8369563
An Approach to digitalize Psychological Tests to support Diagnosis of Alzheimer’s Disease in Ambulatory Care
Schinle, M.; Wyszka, D.; Schwärzler, F.; Volz, K.; Ruby, M.-A.; Sejdinovic, E.; Stork, W.
2018. 13th IEEE International Symposium on Medical Measurements and Applications, MeMeA 2018; Universita La SapienzaRome; Italy; 11 June 2018 through 13 June 2018, Art. Nr.: 8438761, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MeMeA.2018.8438761
Modellbasiertes Entwicklungswerkzeug für den Entwurf und die Analyse von angriffsresistenten Industrie 4.0 Systemen
Betancourt, V. P.; Glock, T.; Kern, M.; Sax, E.; Becker, J.
2018. VDI Automation 2018 - 19. Leitkongress der Mess- und Automatisierungstechnik Seamless Convergence of Automation & IT, Baden-Baden, 03.-04. Juli 2018, embeX GmbH
An Empirical Study on the Current and Future Challenges of Automotive Software Release and Configuration Management
Guissouma, H.; Klare, H.; Sax, E.; Burger, E.
2018. Euromicro Conference on Software Engineering and Advanced Applications 2018 (SEAA 2018), Prague, CZ, August 29-31, 2018. Ed.: T. Bures, 298–305, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SEAA.2018.00056
Scenario-based functional Safety for Automated Driving on the Example of Valet Parking
Schönemann, V.; Winner, H.; Glock, T.; Otten, S.; Sax, E.; Boeddeker, B.; Verhaeg, G.; Tronci, F.; Padilla, G. G.
2018. Future of Information and Communication Conference (FICC) 2018, Singapore, SGP, April 5-6, 2018, SAI
Viewpoint-Based Methodology for Adaption of Automotive E/E-Architectures
Obergfell, P.; Oszwald, F.; Traub, M.; Sax, E.
2018. 2018 IEEE 15th International Conference on Software Architecture Companion (ICSA-C 2018), April 30 - May 4, 2018, Seattle, WA, 128–135, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICSA-C.2018.00041
Dynamic reconfiguration for real-time automotive embedded systems in fail-operational context
Oszwald, F.; Becker, J.; Obergfell, P.; Traub, M.
2018. 32nd IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2018; Vancouver; Canada; 21 May 2018 through 25 May 2018, 206–209, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2018.00039
An extended hybrid anomaly detection system for automotive electronic control units communicating via ethernet efficient and effective analysis using a specification- and machine learning-based approach
Grimm, D.; Weber, M.; Sax, E.
2018. 4th International Conference on Vehicle Technology and Intelligent Transport Systems, VEHITS 2018; Funchal, Madeira; Portugal; 16 March 2018 through 18 March 2018, 462–473, SciTePress
Deep Learning Based 3D Pose Estimation of Surgical Tools Using a RGB-D Camera at the Example of a Catheter for Ventricular Puncture
Reister, F.; Kunz, C.; Schneider, M.; Kroeger, T.
2018. 17. Jahrestagung der Deutschen Gesellschaft für Computer- und Roboterassistierte Chirurgie (CURAC), Leipzig, 13 – 15 September 2018, 28–33, Universität Leipzig
Virtual Test Method for Complex and Variant-Rich Automotive Systems
Lauber, A.; Guissouma, H.; Sax, E.
2018. 2018 IEEE International Conference on Vehicular Electronics and Safety (ICVES), Madrid, E, September 12-14, 2018, 151–157, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICVES.2018.8519599
Data Reduction and Readout Triggering in Particle Physics Experiments Using Neural Networks on FPGAs
Baehr, S.; Kempf, F.; Becker, J.
2018. Proceedings of the 18th International Conference on Nanotechnology (IEEE-NANO 2018), Cork, IRL, July 23-26, 2018, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NANO.2018.8626239
Data Readout Triggering for Phase 2 of the Belle II Particle Detector Experiment Based on Neural Networks
Baehr, S.; Kempf, F.; Becker, J.
2018. Proceedings of the 31th IEEE International System-on-Chip Conference (SOCC), Arlington, VA, September 4-7, 2018, 174–179, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2018.8618563
A Content-Adapted FPGA Memory Architecture with Pattern Recognition Capability and Interval Compressing Technique
Harbaum, T.; Balzer, M.; Becker, J.; Weber, M.
2018. Proceedings of the 31th IEEE International System-on-Chip Conference (SOCC), 118–123, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2018.8618493
A Generic System for Automotive Software Over the Air (SOTA) Updates Allowing Efficient Variant and Release Management
Guissouma, H.; Diewald, A.; Sax, E.
2018. Information Systems Architecture and Technology: Proceedings of 39th International Conference on Information Systems Architecture and Technology – ISAT 2018, September 16-18, 2018, Nysa, Poland. Part I. Ed.: L. Borzemski, 78–89, Springer International Publishing. doi:10.1007/978-3-319-99981-4_8
Electric Circuit- and Wiring Harness-Aware Behavioral Simulation of Model-Based E/E-Architectures at System Level
Bucher, H.; Becker, J.
2018. IEEE International Systems Engineering Symposium (ISSE), Rome, I, October 1-3, 2018, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysEng.2018.8544434
From Data Points to Ampacity Forecasting: Gated Recurrent Unit Networks
Molinar, G.; Popovic, N.; Stork, W.
2018. 2018 IEEE Fourth International Conference on Big Data Computing Service and Applications (BigDataService), Bamberg, March 26-29, 2018, 200–207, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/BigDataService.2018.00037
Validation of a 5-zone-car-cabin model to predict the energy saving potentials of a battery electric vehicle’s HVAC system
Kruppok, K.; Claret, F.; Neugebauer, P.; Kriesten, R.; Sax, E.
2018. 2018 International Joint Conference on Materials Science and Mechanical Engineering, CMSME 2018; Asian Institute of TechnologyBangkok; Thailand; 24 February 2018 through 26 February 2018, Art.Nr. 012037, Institute of Physics Publishing Ltd (IOP Publishing Ltd). doi:10.1088/1757-899X/383/1/012037
Evaluating User Interfaces Supporting Change Detection in Aerial Images and Aerial Image Sequences
Hild, J.; Saur, G.; Petersen, P.; Voit, M.; Peinsipp-Byma, E.; Beyerer, J.
2018. 20th International Conference on Human Interface and the Management of Information, HIMI 2018 Held as Part of HCI International 2018; Las Vegas; United States; 15 July 2018 through 20 July 2018, 383–402, Springer. doi:10.1007/978-3-319-92046-7_33
Mapping and Scheduling Hard Real Time Applications on Multicore Systems : The ARGO Approach
Alefragis, P.; Theodoridis, G.; Katsimpris, M.; Valouxis, C.; Gogos, C.; Goulas, G.; Voros, N.; Reder, S.; Kasnakli, K.; Bednara, M.; Müller, D.; Durak, U.; Becker, J.
2018. Applied Reconfigurable Computing - Architectures, Tools, and Applications, Proceedings of the 14th International Symposium, ARC 2018, Santorini, Greece, 2nd - 4th May 2018. Ed.: C. Antonopoulos, 700–711, Springer. doi:10.1007/978-3-319-78890-6_56
The ARAMiS Project Initiative : Multicore Systems in Safety- and Mixed-Critical Applications
Becker, J.; Bapp, F. K.
2018. Applied Reconfigurable Computing - Architectures, Tools, and Applications, Proceedings of the 14th International Symposium, ARC 2018, Santorini, Greece, 2nd - 4th May 2018. Ed.: G. Keramidas, 685–699, Springer. doi:10.1007/978-3-319-78890-6_55
Isolation Forest for Anomaly Detection in Raw Vehicle Sensor Data
Hofmockel, J.; Sax, E.
2018. 4th International Conference on Vehicle Technology and Intelligent Transport Systems, Funchal, P, March 16-18, 2018. doi:10.5220/0006758004110416
Scenario-based development of an industry 4.0 domain description language for a plant architecture
Glock, T.; Groß, T.; Kern, M.; Betancourt, V. P.; Sax, E.; Becker, J.
2018. 7th International Conference on Industrial Technology and Management (ICITM), Oxford, UK, March 7-9, 2018, 71–77, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICITM.2018.8333922
An Extended Hybrid Anomaly Detection System for Automotive Electronic Control Units Communicating via Ethernet - Efficient and Effective Analysis using a Specification- and Machine Learning-based Approach
Grimm, D.; Weber, M.; Sax, E.
2018. 4th International Conference on Vehicle Technology and Intelligent Transport Systems, Funchal, Madeira, Portugal, 16–18 March 2018, 462–473, SciTePress. doi:10.5220/0006779204620473
Potential of virtual test environments for the development of highly automated driving functions using neural networks
Pfeffer, R.; Ukas, P.; Sax, E.
2018. ATZ Fahrerassistenzsysteme, 4. Internationale ATZ-Fachtagung "Automatisiertes Fahren", Wiesbaden, Deutschland, 18. - 19. April 2018
Interactive Parallelization of Embedded Real-Time Applications Starting from Open-Source Scilab and Xcos
Oey Oliver; Rückauer, M.; Stripf, T.; Becker, J.; David, C.; Debray, Y.; Müller, D.; Durak, U.; Kasnakli, E. K.; Bednara, M.; Schöberl, M.
2018. 9th European Congress - Embedded Real Time Software and Systems - ERTS² 2018, Toulouse, F, January 31- February 2, 2018
Reconfigurable FPGA-Based Channelization Using Polyphase Filter Banks for Quantum Computing Systems
Pfau, J.; Figuli, S. P. D.; Bähr, S.; Becker, J.
2018. Applied Reconfigurable Computing - Architectures, Tools, and Applications, Proceedings of the 14th International Symposium, ARC 2018, Santorini, Greece, 2nd - 4th May 2018. Ed.: Nikolaos Voros, 615–626, Springer. doi:10.1007/978-3-319-78890-6_49
A WCET-Aware Parallel Programming Model for Predictability Enhanced Multi-core Architectures
Reder, S.; Masing, L.; Bucher, H.; Braak, T. ter; Stripf, T.; Becker, J.
2018. Proceedings of the 2018 Design, Automation & Test in Europe (DATE) : 19-23 March 2018, Dresden, Germany. Ed. J. Madsen, 943–948, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE.2018.8342145
Control Flow Analysis for Embedded Multi-Core Hybrid Systems
Hoppe, A. W.; Kastensmidt, F. L.; Becker, J.
2018. Proceedings of the 13th International Symposium on Applied Reconfigurable Computing, ARC 2018, Santorini, Greece, 2nd - 4th May 2018
Embedded Hybrid Anomaly Detection for Automotive CAN Communication
Weber, M.; Klug, S.; Sax, E.; Zimmer, B.
2018. Proceedings of the 9th European Congress on Embedded Real Time Software and Systems, ERTS² 2018, Toulouse, France, 31st January - 2nd February 2018, 1–10
Calculation of Route-Dependent Energy-Saving Potentials to Optimize EV’s Range
Kruppok, K.; Kriesten, R.; Sax, E.
2018. 18. Internationales Stuttgarter Symposium Automobil- und Motorentechnik, Stuttgart, Deutschland, 13. - 14. März 2018, FKFS
Design space exploration for the model-based design of the power distribution system in vehicles
Braun, L.; Kruppok, K.; Sax, E.
2018. 18. Internationales Stuttgarter Symposium Automobil- und Motorentechnik, Stuttgart, 13.-14. März 2018, FKFS. doi:10.1007/978-3-658-21194-3_87
Improving range prediction of battery electric vehicles by periodical calculation of driver parameters based on real driving data
Kruppok, K.; Walter, T.; Kriesten, R.; Sax, E.
2018. 4th International Conference on Vehicle Technology and Intelligent Transport Systems, Funchal, P, March 16-18, 2018
The ARAMiS Project Initiative : Multicore Systems in Safety- and Mixed-Critical Applications
Becker, J.; Bapp, F.
2018. Proceedings of the 14th International Symposium on Applied Reconfigurable Computing, ARC 2018, Santorini, Greece, 2nd - 4th May 2018
Testing Security of Embedded Software through Virtual Processor Instrumentation
Lauber, A.; Sax, E.
2018. Online Engineering & Internet of Things : Proceedings of the 14th International Conference on Remote Engineering and Virtual Instrumentation REV 2017, held 15-17 March 2017, Columbia University, New York, USA. Ed.: M. E. Auer, 85–94, Springer. doi:10.1007/978-3-319-64352-6_9
Reports/Preprints
Presentations
Einsatz von Simulationsumgebungen für Entwicklung und Test hochautomatisierter Fahrfunktionen mit neuronalen Netzen = Simulation environments for development and testing of highly automated driving functions with neural networks
Pfeffer, R.; Sax, E.
2018, October 25. 4. Interdisziplinärer Expertendialog IEDAS – Aktive Sicherheit und auto­mati­siertes Fahren (IEDAS 2018), Ingolstadt, Germany, October 24–25, 2018
In-NoC circuits for low-latency cache coherence in distributed shared-memory architectures
Masing, L.; Srivatsa, A.; Kreß, F.; Anantharajaiah, N.; Herkersdorf, A.; Becker, J.
2018. 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC 2018), Hanoi, Vietnam, September 12–14, 2018
Deep Learning Based 3D Pose Estimation of Surgical Tools Using a RGB-D Camera at the Example of a Catheter for Ventricular Puncture
Reister, F.; Kunz, C.; Schneider, M.; Kroeger, T.
2018. 17. Jahrestagung der Deutschen Gesellschaft für Computer- und Roboterassistierte Chirurgie (CURAC 2018), Leipzig, Germany, September 13–15, 2018
Online Detection of Anomalies in Vehicle Signals using Replicator Neural Networks
Weber, M.; Wolf, G.; Sax, E.; Zimmer, B.
2018. 6th ESCAR USA (2018), Ypsilanti, MI, USA, June 20–21, 2018
2017
Book Chapters
Prediction of energy consumption for an automatic ancillary unit regulation
Kruppok, K.; Gutenkunst, C.; Kriesten, R.; Sax, E.
2017. 17. Internationales Stuttgarter Symposium. Automobil- und Motorentechnik. Hrsg.: M. Bargende, 41–56, Vieweg Verlag. doi:10.1007/978-3-658-16988-6_8
Identifikation von Fahrszenarien während einer virtuellen Testfahrt
King, C.; Bach, J.; Otten, S.; Sax, E.
2017. INFORMATIK 2017. Hrsg.: M. Eibl, 1511–1516, Gesellschaft für Informatik (GI). doi:10.18420/in2017_150
PhD Theses
Automated Hardware Prototyping for 3D Network on Chips. PhD dissertation
Friederich, S.
2017. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000078077
Indoor- Lokalisiserung zur Prozessoptimierung in dynamischen, industriellen Umgebungen. PhD dissertation
Hartmann, F. M.
2017. Karlsruher Institut für Technologie (KIT)
Eine adaptive Architekturbeschreibung für eingebettete Multicoresysteme. PhD dissertation
Bruckschlögl, T.
2017. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000073629
Condition monitoring of wind turbine rotor blades using optically powered sensors. PhD dissertation
Worms, K.
2017. Karlsruher Institut für Technologie (KIT)
Timing Synchronization and Fast-Control for FPGA-based large-scale Readout and Processing Systems. PhD dissertation
Meder, L. D.
2017. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000071051
Journal Articles
A precision device needs precise simulation: Software description of the CBM Silicon Tracking System
CBM Collaboration; Malygina, H.; Friese, V.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2017. Journal of Physics: Conference Series, 898, Art.-Nr.: 042022. doi:10.1088/1742-6596/898/4/042022
The high-rate data challenge: computing for the CBM experiment
CBM Collaboration; Friese, V.; Bähr, S.; Balzer, M.; Becker, J.; Blank, T.; Caselle, M.; Sidorenko, V.; Trifonova, E.; Unger, K. L.; Weber, M.
2017. Journal of Physics: Conference Series, 898, Art.-Nr. 112003. doi:10.1088/1742-6596/898/11/112003
Automatic Detection of the Optic Nerve Head in Line Scanning Ophthalmoscope Images in CIRRUS™ HD-OCT
Fard, A.; Bagherinia, H.; Stock, S.; Straub, J.
2017. Investigative ophthalmology & visual science, 58 (8), Abstract 4010
The Benefits of Real Time Pupil Tracking on the Quality of the B-Scan
Stock, S.; Kubach, S.; Sha, P.; Straub, J.; Stork, W.
2017. Investigative ophthalmology & visual science, 58 (8), Abstrract 660
Measuring The Maturation Of Gait In Children Using Symbolic Entropy Analysis
Abbasi, A. Q.; Aziz, S.; Shiraz, M.; Junaid, M.
2017. Journal of multidisciplinary engineering science and technology, 4 (3), 6791–6795
Hardware/software trade-offs for shared resources virtualization in mixed-criticality automotive multicore systems
Sandmann, T.; Richter, A.; Heyszl, J.; Lübbers, E.
2017. Information technology, 59 (5), 223–231. doi:10.1515/itit-2017-0018
Synthesis of Important Design Criteria for Future Vehicle Electric System
Braun, L.; Sax, E.
2017. Advances in science, technology and engineering systems journal, 2 (3), 277–283. doi:10.25046/aj020337
Automotive Ethernet : Trends und Herausforderungen
Jesse, B.; Weber, M.; Helmling, M.
2017. Automobil-Elektronik, (11-12), 32–35
Online monitoring for safety-critical multicore systems
Tobuschat, S.; Kostrzewa, A.; Bapp, F.; Dropmann, C.
2017. Information technology, 59 (5), 215–222. doi:10.1515/itit-2017-0028
A Generic Reconfigurable Mixed Time and Frequency Domain QAM Transmitter with Forward Error Correction
Figuli, S.; Figuli, P.; Sonnino, A.; Becker, J.
2017. International Journal of Advances in Telecommunications, Electrotechnics, Signals and Systems, 6 (2), 80–88
Grafisch parallel programmieren
Oey, O.; Stripf, T.
2017. Elwis, (9), 48–51
Foreword to the Special Section on Reconfigurable Computing
Derrien, S.; Atasu, K.; Cardoso, J. M. P.; Becker, J.
2017. Journal of signal processing systems, 88 (2), 103–105. doi:10.1007/s11265-017-1237-7
A Timing Synchronizer System for Beam Test Setups Requiring Galvanic Isolation
Meder, L. D.; Emschermann, D.; Frühauf, J.; Müller, W. F. J.; Becker, J.
2017. IEEE transactions on nuclear science, 64 (7), 1975–1982. doi:10.1109/TNS.2017.2713524
A neural network on FPGAs for the z-vertex track trigger in Belle II
Bähr, S.; Skambraks, S.; Neuhaus, S.; Kiesling, C.; Becker, J.
2017. Journal of Instrumentation, 12, Art. Nr.: C03065. doi:10.1088/1748-0221/12/03/C03065
A versatile small form factor twisted-pair TFC FMC for MTCA AMCs
Meder, L.; Lebedev, J.; Becker, J.
2017. Journal of Instrumentation, 12 (3), Art. Nr.: C03074. doi:10.1088/1748-0221/12/03/C03074
Challenges in QCD matter physics –The scientific programme of the Compressed Baryonic Matter experiment at FAIR
Ablyazimov, T.; Abuhoza, A.; Adak, R. P.; Adamczyk, M.; Agarwal, K.; Aggarwal, M. M.; Ahammed, Z.; Ahmad, F.; Ahmad, N.; Ahmad, S.; Akindinov, A.; Akishin, P.; Akishina, E.; Akishina, T.; Akishina, V.; Akram, A.; Al-Turany, M.; Alekseev, I.; Alexandrov, E.; Alexandrov, I.; et al.
2017. The European physical journal / A, 53 (3), 60. doi:10.1140/epja/i2017-12248-y
The promised future of multi-core processors in avionics systems
Sander, O.; Bapp, F.; Dieudonne, L.; Sandmann, T.; Becker, J.
2017. CEAS Aeronautical Journal, 8 (1), 143–155. doi:10.1007/s13272-016-0228-x
Conference Papers
Feature-based gesture classification by means of high resolution radar measurements
Fink, J.; Guissouma, H.; Jondral, F.
2017. 2017 18th International Radar Symposium (IRS), Prague, Czech Republic, 28–30 June 2017, 1–10, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/IRS.2017.8008239
Auto-SI: An adaptive reconfigurable processor with run-time loop detection and acceleration
Harbaum, T.; Schade, C.; Damschen, M.; Tradowsky, C.; Bauer, L.; Henkel, J.; Becker, J.
2017. 2017 30th IEEE International System-on-Chip Conference (SOCC), Munich, 5–8 September 2017, 153–158, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2017.8226027
Prevent collaboration conflicts with fine grained pessimistic locking
Eyl, M.; Reichmann, C.; Müller-Glaser, K. D.
2017. MODELSWARD 2017 : proceedings of the 5th International Conference on Model-Driven Engineering and Software Development : Porto, Portugal, February 19-21, 2017. Ed.: L. Pires, 312–319, SciTePress
Framework for using real driving data in automotive feature development and validation
Langner, J.; Otten, S.; Sax, E.; Esselborn, C.; Holzäpfel, M.; Eckert, M.; Bach, J.
2017. 8. Tagung Fahrerassistenz, München, 22.-23. November 2017
Evaluation Environment for Linked Data Web Services
Bader, S.; Wolf, A.; Keppmann, F.
2017. Joint Proceedings of the SEMANTiCS 2017 Workshops co-located with the 13th International Conference on Semantic Systems (SEMANTiCS 2017) : 6th International Workshop on Services and Applications over Linked APIs and Data (SALAD), Amsterdam, Netherlands, 11th - 14th September 2017. Ed.: A. Fensel, RWTH Aachen
Using a Domain Model to Precisely Describe function-agnostic Electric/Electronic-Architectures
Braun, L.; Armbruster, M.; Fiege, L.; Sax, E.
2017. AmE 2017 – Automotive meets Electronics - 8. GMM-Fachtagung, Dortmund, 07. - 08.03.2017 in Dortmund, GMM
Reactive-Replay Approach for Verification and Validation of Closed-Loop Control Systems in Early Development
Bach, J.; Holzäpfel, M.; Otten, S.; Sax, E.
2017. WCX™ 17: SAE World Congress Experience, Detroit, MI, April 4-6, 2017, SAE International. doi:10.4271/2017-01-1671
A Taxonomy and Systematic Approach for Automotive System Architectures. From Functional Chains to Functional Networks
Bach, J.; Otten, S.; Sax, E.
2017. Proceedings of the 3rd International Conference on Vehicle Technology and Intelligent Transport Systems (VEHITS 2017), Porto, P, 22.04. - 24.04.2017. Ed.: O. Guskhin, 90–101, SciTePress. doi:10.5220/0006307600900101
Opening remarks
Becker, J.
2017. 2017 30th IEEE International System-on-Chip Conference (SOCC), Munich, Germany, 5–8 September 2017, 1 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2017.8225988
Visualisation of Ultrasound Computer Tomography Breast Dataset
Jerome, N. T.; Ateyev, Z.; Lebedev, V.; Hopp, T.; Zapf, M.; Chilingaryan, S.; Kopmann, A.
2017. Proceedings of the International Workshop on Medical Ultrasound Tomography: 1.- 3. Nov. 2017, Speyer, Germany. Hrsg.: T. Hopp, 349–359, KIT Scientific Publishing. doi:10.5445/IR/1000080283
Modellbasierte Planung und Konfiguration von verteilten Funktionsumfängen in der Feldebene Model-based planning and configuration of distributed functions in the field
Glock, T.; Otten, S.; Rebmann, S.; Sax, E.
2017. VDI Automation 2017 Technology networks Processes - 18. Leitkongress der Mess- und Automatisierungstechnik, Baden Baden, 27.-28. Juni 2017, VDI Fachmedien
Test Scenario Selection for System-Level Verification and Validation of Geolocation-Dependent Automotive Control Systems
Bach, J.; Langner, J.; Otten, S.; Holzäpfel, M.; Porsche, F.; Sax, E.
2017. 2017 International Conference on Engineering, Technology and Innovation (ICE/ITMC), Madeira, P, June 27-29, 2017, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICE.2017.8279890
Data-Driven Development, A Complementing Approach for Automotive Systems Engineering
Bach, J.; Langner, J.; Otten, S.; Holzäpfel, M.; Sax, E.
2017. 3rd Annual IEEE International Symposium on Systems Engineering, ISSE 2017, Vienna, A, October 11-13, 2017, Article no 8088295, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SysEng.2017.8088295
Validation of a 5-Zone-Car-Cabin Model to Predict the Energy Saving Potentials of a Battery Electric Vehicle’s HVAC system
Kruppok, K.; Claret, F.; Neugebauer, P.; Kriesten, R.; Sax, E.
2017. International Conference on New Energy Vehicle and Vehicle Engineering (NEVVE 2017), Hongkong, HK, December 15-18, 2017, Nevve
USCT Image Reconstruction: Acceleration using Gauss-Newton Preconditioned Conjugate Gradient
Wang, H.; Gemmeke, H.; Hopp, T.; Hesser, J.
2017. Proceedings of the International Workshop on Medical Ultrasound Tomography: 1.- 3. Nov. 2017, Speyer, Germany. Hrsg.: T. Hopp, 41–52, KIT Scientific Publishing. doi:10.5445/IR/1000079815
A Data Exchange Tool Based on Ontology for Emergency Response Systems
Simas, F.; Barros, R.; Salvador, L.; Weber, M.; Amorim, S.
2017. Metadata and Semantic Research : Proceedings of the 11th International Conference, MTSR 2017, Tallinn, Estonia, 28th November - 1st December 2017. Ed.: E. Garoufallou, 74–79, Springer. doi:10.1007/978-3-319-70863-8_7
3D Visualization of Retinal Vasculature Using Virtual Reality and 3D Printing
Straub, J.; Jaward, S.; Stock, S.; Leahy, C.; Burns, C.; Sousa, F.
2017. ARVO imaging in the Eye Conference, 2017 Baltimore, May 06, 2017
Modellbasiertes Testen am Motorprüfstand – Teilvirtuelle Untersuchung von Hybridantriebssystemen unter Realbedingungen
Donn, C.; Pfeffer, R.; Elbs, M.
2017. VPC - Simulation und Test : Herausforderungen durch die RDE-Gesetzgebung : 18. MTZ-Fachtagung, 27. und 28. September 2016, Hanau bei Frankfurt a.M. Ed.: J. Liebl, 37–53, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-16754-7_3
A Non-Invasive Cyberrisk in Cooperative Driving
Bapp, F.; Becker, J.; Beyerer, J.; Doll, J.; Filsinger, M.; Frese, C.; Hubschneider, C.; Lauber, A.; Müller-Quade, J.; Pauli, M.; Roschani, M.; Salscheider, O.; Rosenhahn, B.; Ruf, M.; Stiller, C.; Willersinn, D.; Ziehn, J. R.
2017. TÜV-Tagung Fahrerassistenz, 2017, München, 8 S
A Reconfigurable High-speed Spiral FIR Filter Architecture
Figuli, S. P. D.; Figuli, P.; Becker, J.
2017. Proceedings of the 40th International Conference on Telecommunications and Signal Processing, TSP 2017, Barcelona, Spain, 5th - 7th July 2017, 532–537, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/TSP.2017.8076044
Interaktive Parallelisierung von Anwendungen für eingebettete Mehrkernprozessoren
Stripf, T.; Oey, O.
2017. parallel 2017, Softwarekonferenz für Parallel Programming, Concurrency, HPC und Multicore-Systeme, Heidelberg, 29.-31. März 2017
Increasing Energy Efficiency Through Semi-Automatic Parallelization of Applications for Embedded Computing Devices in the IoT Domain
Oey, O.; Rueckauer, M.; Stripf, T.; Becker, J.
2017. Embedded World Conference 2017, 14. bis 16. März 2017, Nürnberg
Video Transmission for Autonomous Truck Platoons using WLAN Broadcast
Ilahi, S.; Ellwanger, S.; Lauber, A.; Sax, E.
2017. 12th ITS European Congress, 19-22 June 2017, Strasbourg
GPS-independent Localization for Off-road Vehicles Using Ultra-wideband (UWB)
Stoll, H.; Zimmer, P.; Hartmann, F.; Sax, E.
2017. Proceedings of the 2017 IEEE 20th International Conference on Intelligent Transportation Systems (ITSC), IEEE ITSC2017, Yokohama, Japan, 16th - 19th October 2017. doi:10.1109/ITSC.2017.8317763
Automatic Defect Detection by One-Class Classification on Raw Vehicle Sensor Data
Hofmockel, J.; Richter, F.; Sax, E.
2017. ISMIS 2017 : Foundations of Intelligent Systems : 23rd International Symposium, Warsaw, Poland, 26th - 29th June 2017. Ed.: M. Kryszkiewicz, 378–384, Springer. doi:10.1007/978-3-319-60438-1_37
A Modular Approach for Smart Home System Architectures Based on Android Applications
Schinle, M.; Schneider, J.; Blocher, T.; Zimmermann, J.; Chiriac, S.; Stork, W.
2017. MobileCloud 2017 : 5th IEEE International Conference on Mobile Cloud Computing, Services, and Engineering 2017, San Francisco, California, USA, 6th - 8th April 2017, 153–156, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MobileCloud.2017.20
WCET-aware parallelization of model-based applications for multi-cores : The ARGO approach
Derrien, S.; Puaut, I.; Alefragis, P.; Bednara, M.; Bucher, H.; David, C.; Debray, Y.; Durak, U.; Fassi, I.; Ferdinand, C.; Hardy, D.; Kritikakou, A.; Rauwerda, G.; Reder, S.; Sicks, M.; Stripf, T.; Sunesen, K.; Ter Braak, T.; Voros, N.; Becker, J.
2017. Proceedings of the 20th Design, Automation and Test in Europe, Lausanne, Switzerland, 27-31 March 2017, 286–289, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE.2017.7927000
Pegasus: Efficient data transfers for PGAS languages on non-cache-coherent many-cores
Mohr, M.; Tradowsky, C.
2017. 20th Design, Automation and Test in Europe, SwissTech Convention Center Swisstech, Lausanne, Switzerland, 27. - 31. March, 2017, 1781–1786, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE.2017.7927281
An Integrated Approach Enabling Cross-Domain Simulation of Model-Based E/E-Architectures
Bucher, H.; Reichmann, C.; Becker, J.
2017. SAE World Congress Experience, WCX 2017, Detroit, United States, 4th - 6th April 2017, 14 S., SAE International. doi:10.4271/2017-01-0006
An approach to improve impedance plethysmography on the wrist by using adaptive feedback control
Schneider, J.; Schroth, M.; Holzhey, M.; Blocher, T.; Stork, W.
2017. 12th IEEE Sensors Applications Symposium, SAS 2017; Rowan University201 Mullica Hill RoadGlassboro; United States; 13 March 2017 through 15 March 2017; Category numberCFP17SAS-ART; Code 127273., Art. Nr.: 7894063, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2017.7894063
An online PPGI approach for camera based heart rate monitoring using beat-to-beat detection
Blocher, T.; Schneider, J.; Schinle, M.; Stork, W.
2017. 12th IEEE Sensors Applications Symposium, SAS 2017; Rowan University201 Mullica Hill RoadGlassboro; United States; 13 March 2017 through 15 March 2017, Art. Nr.: 7894052, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2017.7894052
Evaluation of a smart drink monitoring device
Zimmermann, C.; Zeilfelder, J.; Bloecher, T.; Diehl, M.; Essig, S.; Stork, W.
2017. 12th IEEE Sensors Applications Symposium, SAS 2017; Rowan University201 Mullica Hill RoadGlassboro; United States; 13 March 2017 through 15 March 2017, Art. Nr.: 7894061, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAS.2017.7894061
Parameter Sensitivity in Virtual FPGA Architectures
Figuli, P.; Ding, W.; Figuli, S.; Siozios, K.; Soudris, D.; Becker, J.
2017. 13th International Symposium on Applied Reconfigurable Computing, ARC 2017; Delft; Netherlands; 3 April 2017 through 7 April 2017. Ed. : S. Wong, 141–153, Springer International Publishing. doi:10.1007/978-3-319-56258-2_13
Energy efficient scientific computing on FPGAs using OpenCL
Weller, D.; Oboril, F.; Lukarski, D.; Becker, J.; Tahoori, M.
2017. FPGA ’17 Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, February 22 - 24, 2017, 247–256, Association for Computing Machinery (ACM). doi:10.1145/3020078.3021730
OpenCL-Based 6D-Vision on Heterogeneous System on Chips
Bromberger, M.; Ehrle, S.; Scharrer, M.; Erlinghagen, L.; Schick, J.
2017. Architecture of Computing Systems - ARCS 2017. Ed.: J. Knoop, 33–46, Springer International Publishing. doi:10.1007/978-3-319-54999-6_3
Infrared spectral imaging for damage detection and prevention of overhead power lines
Molinar, G.; Stork, W.
2017. OCM 2017 - Optical Characterization of Materials - conference proceedings. Hrsg.: J. Beyerer, F. Puente León, T. Längle, 159–168, KIT Scientific Publishing. doi:10.5445/IR/1000068201
Reports/Preprints
A Survey on the State and Future of Automotive Software Release and Configuration Management
Sax, E.; Reussner, R.; Guissouma, H.; Klare, H.
2017. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000075673
Presentations
Von der Forschung in den Markt: Die emmtrix-Story
Stripf, T.
2017. Rückblick NEULAND – Der Innovationstag, 28. Juni 2017
Plattformübergreifende Softwareentwicklung für heterogene Multicore-Systeme
Stripf, T.
2017. Software-Workshop: Verschwindet die Grenze zwischen Hardware- und Softwareentwicklung?, München, Germany, 11. Oktober 2017
Efficient Embedded Programming of Heterogeneous Multicore Platforms
Stripf, T.
2017. CSW Stuttgart, October 25-27, 2017
Posters
The Benefits of Real Time Pupil Tracking on the Quality of the B-Scan
Stock, S.; Kubach, S.; Sha, P.; Straub, J.; Stork, W.
2017. ARVO 2017 in Baltimore, USA
Automatic Detection of the Optic Nerve Head in Line Scanning Ophthalmoscope Images in CIRRUS™ HD-OCT
Fard, A.; Bagherinia, H.; Stock, S.; Straub, J.
2017. ARVO 2017 in Baltimore, USA
Algorithm Parameter Optimization with Evolutionary Algorithms
Stock, S.; Kubach, S.; Straub, J.
2017. ARVO imaging in the Eye Conference, 2017 Baltimore, May 06, 2017
2016
Book Chapters
Web 2.0-Potenziale für die Entrepreneur Crowd
Pfeffer, R.; Roor, R.; Dübon, K.; Hofmann, R.
2016. Marken und Medien : Führung von Medienmarken und Markenführung mit neuen und klassischen Medien. Hrsg.: S. Regier, 361–370, Gabler Verlag. doi:10.1007/978-3-658-06934-6_20
PhD Theses
Ambiente Sensorsysteme für die ambulante Pflege: Gesundheitsmonitoring und Notfallerkennung. PhD dissertation
Chiriac, S.
2016. Karlsruher Institut für Technologie (KIT)
Methoden zur applikationsspezifischen Effizienzsteigerung adaptiver Prozessorplattformen. PhD dissertation
Tradowsky, C.
2016. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000067258
Realisierung einer adaptiven parallelen Hardwarearchitektur für hochperformante OFDMA. PhD dissertation
Dreschmann, M.
2016. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000066061
Analyse und Optimierung von fokussierten LiDAR-Systemen für Windkraftanlagen. PhD dissertation
Beuth, T.
2016. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000065008
Modulares Monitoring-System zur ambulanten Diagnosehilfe bei Epilepsie. PhD dissertation
Bideaux, A.
2016. Karlsruher Institut für Technologie (KIT)
Eine Technologie für das durchgängige und automatisierte Testen eingebetteter Software. PhD dissertation
Fischer, T.
2016. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000064298
Multimodal eye’s optical quality (MEOQ). PhD dissertation
Garcia Guerra, C. E.
2016. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000063014
Journal Articles
Extensible Graphical Editors for Palladio
Strittmatter, M.; Junker, M.; Rostami, K.; Lehrig, S.; Kechaou, A.; Liu, B.; Heinrich, R.
2016. Softwaretechnik-Trends, 36 (4)
Direkte Stereo-Kalibrierung
Schick, J.; Erlinghagen, L.
2016. InVision, 2016 (3), 70–71
Prozessanlagenplanung 2.0 - Netzarchitektur aus Verfahrensbeschreibung ableiten
Thomas Glock; Matthias Kern; Stefan Otten; Eric Sax; Martin Hillenbrand; Michael Hübner
2016. Atp-Edition, 58 (10), 28–39. doi:10.17560/atp.v58i10.582
Providing fault tolerance through invasive computing
Lari, V.; Weichslgartner, A.; Tanase, A.; Witterauf, M.; Khosravi, F.; Teich, J.; Heißwolf, J.; Friederich, S.; Becker, J.
2016. Information technology, 58 (6), 309–328. doi:10.1515/itit-2016-0022
A signal distribution board for the timing and fast control master of the CBM experiment
Meder, L.; Dreschmann, M.; Sander, O.; Becker, J.
2016. Journal of Instrumentation, 11 (2), Art. Nr.: C02001. doi:10.1088/1748-0221/11/02/C02001
A V2X Message Evaluation Methodology and Cross-Domain Modelling of Safety Applications in V2X-enabled E/E-Architectures
Bucher, H.; Buciuman, M.-F.; Klimm, A.; Sander, O.; Becker, J.
2016. EAI Endorsed Transactions on Security and Safety, 16 (8), Art.Nr. e1. doi:10.4108/eai.24-8-2015.2261038
Efficient task spawning for shared memory and message passing in many-core architectures
Zaib, A.; Wild, T.; Herkersdorf, A.; Heisswolf, J.; Becker, J.; Weichslgartner, A.; Teich, J.
2016. Journal of systems architecture, 77, 72–82. doi:10.1016/j.sysarc.2017.03.004
A framework for porting the NeuroBayes machine learning algorithm to FPGAs
Baehr, S.; Sander, O.; Heck, M.; Feindt, M.; Becker, J.
2016. Journal of Instrumentation, 11 (1), Art. Nr.: C01058. doi:10.1088/1748-0221/11/01/C01058
Influence of laser coherence on reference-matched laser Doppler velocimetry
Beuth, T.; Fox, M.; Stork, W.
2016. Applied optics, 55 (8), 2104–2108. doi:10.1364/AO.55.002104
Sit-to-Stand Transition Reveals Acute Fall Risk in Activities of Daily Living
Pozaic, T.; Lindemann, U.; Grebe, A.-K.; Stork, W.
2016. IEEE journal of translational engineering in health and medicine, 4, Art. Nr.: 7763750. doi:10.1109/JTEHM.2016.2620177
High-Speed Medical Imaging in 3D Ultrasound Computer Tomography
Birk, M.; Kretzek, E.; Figuli, P.; Weber, M.; Becker, J.; Ruiter, N. V.
2016. IEEE transactions on parallel and distributed systems, 27 (2), 455–467. doi:10.1109/TPDS.2015.2405508
An introductory microcontroller programming laboratory course for first-year students
Nürnberg, T.; Beuth, T.; Becker, J.; Puente León, F.
2016. International journal of electrical engineering education, 53 (2), 99–113. doi:10.1177/0020720915611439
Outcomes from the EURATOM-ROSATOM ERCOSAM SAMARA projects on containment thermal-hydraulics for severe accident management
Paladino, D.; Andreani, M.; Guentay, S.; Mignot, G.; Kapulla, R.; Paranjape, S.; Sharabi, M.; Kisselev, A.; Yudina, T.; Filippov, A.; Kamnev, M.; Khizbullin, A.; Tyurikov, O.; Abdo, D.; Brinster, J.; Dabbene, F.; Kelm, S.; Klauck, M.; Götz, L.; Gehr, R.; et al.
2016. (Liang, Zhe (Rita), Ed.) Nuclear engineering and design, 308, 103–114. doi:10.1016/j.nucengdes.2016.08.011
Cloud-based design and virtual prototypin environment for embedded systems
Werner, S.; Lauber, A.; Koedam, M.; Becker, J.; Sax, E.; Goossens, K.
2016. International journal of online engineering, 12 (9), 52–60. doi:10.3991/ijoe.v12i09.6142
Reliable and lightning-safe monitoring of wind turbine rotor blades using optically powered sensors
Worms, K.; Klamouris, C.; Wegh, F.; Meder, L.; Volkmer, D.; Philipps, S. P.; Reichmuth, S. K.; Helmers, H.; Kunadt, A.; Vourvoulakis, J.; Bett, A. W.; Koos, C.; Freude, W.; Leuthold, J.; Stork, W.
2016. Wind energy, 20 (2), 345–360. doi:10.1002/we.2009
Direct Neutrino Mass Experiments
Mertens, S.
2016. Journal of physics / Conference Series, 718, 022013/1–9. doi:10.1088/1742-6596/718/2/022013
Development of a Latency Optimized Communication Device for WAVE and SAE Based V2X-Applications
Pistorius, F.; Lauber, A.; Pfau, J.; Klimm, A.; Becker, J.
2016. SAE technical papers, 2016-April, 1–11. doi:10.4271/2016-01-0150
Conference Papers
Connected efficiency - A paradigm to evaluate energy efficiency in tactical vehicle-environments
Kramer, J.; Hillenbrand, M.; Müller-Glaser, K. D.; Sax, E.
2016. 16. Internationales Stuttgarter Symposium: Automobil- und Motorentechnik. Hrsg.: M. Bargende, 1451–1463, Springer Fachmedien Wiesbaden. doi:10.1007/978-3-658-13255-2_107
Innovations such as Autonomous Driving demand new Methods and Tools in Systems Engineering
Sax, E.
2016. 2016 International Symposium on VLSI Design, Automation and Test (2016 VLSI-DAT), Hsinchu, TWN, April 25, 2016
Ableitung von modellbasierten industriellen Vernetzungsarchitekturen aus dem Rohrleitungs- und Instrumentenfließschema
Glock, T.; Kern, M.; Otten, S.; Sax, E.
2016. Automation 2016 Secure and reliable in the digital world, Baden-Baden, 07. - 08. Juni 2016
A model-based scenario specification method to support development and test of automated driving functions
Bach, J.; Otten, S.; Sax, E.
2016. 2016 IEEE Intelligent Vehicles Symposium, Gothenburg, S, June 19-22, 2016. doi:10.1109/IVS.2016.7535534
Generation of virtual driving scenarios out of unsynchronized video recordings
Kramer, J.; Kirschner, R.; Sax, E.
2016. 36th FISITA 2016 World Automotive Congress - Creative Thinking for Future Automobiles, Busan, ROK, September 26-30, 2016
SoC design methods and algorithms II
Becker, J.
2016. 2016 29th IEEE International System-on-Chip Conference (SOCC), Seattle, WA, USA, 6–9 September 2016, 1 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2016.7905493
Application specific SoC designs
Becker, J.
2016. 2016 29th IEEE International System-on-Chip Conference (SOCC), Seattle, WA, USA, 6–9 September 2016, 1 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2016.7905438
Tutorial 3A: Bringing cores closer together: The wireless revolution in on-chip communication
Pande, P.; Becker, J.
2016. 2016 29th IEEE International System-on-Chip Conference (SOCC), Seattle, WA, USA, 6–9 September 2016, 1–2, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2016.7905411
Tutorial 2A: 3D integration - challenges and advantages
Chrzanowska-Jeske, M.; Becker, J.
2016. 2016 29th IEEE International System-on-Chip Conference (SOCC), Seattle, WA, USA, 6–9 September 2016, 1–3, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2016.7905409
A variable FPGA based generic QAM transmitter with scalable mixed time and frequency domain signal processing
Figuli, S. P. D.; Sonnino, A.; Figuli, P.; Becker, J.
2016. 2016 39th International Conference on Telecommunications and Signal Processing (TSP), Vienna, Austria, 27–29 June 2016. Ed.: N. Herencsar, 453–457, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/TSP.2016.7760919
A Novel NoC-Architecture for Fault Tolerance and Power Saving
Heisswolf, J.; Friederich, S.; Masing, L.; Weichslgartner, A.; Zaib, A.; Stein, C.; Duden, M.; Teich, J.; Herkersdorf, A.; Becker, J.
2016. Proceedings of the second International Workshop on Multi-Objective Many-Core Design (MOMAC) in conjunction with International Conference on Architecture of Computing Systems (ARCS). 5. April 2016, Nürnberg, Institute of Electrical and Electronics Engineers (IEEE)
Energy Aware, Two-staged Localization Concept for Dynamic Indoor Environments
Hartmann, F.; Worms, K.; Pistorius, F.; Wanjek, M.; Stork, W.
2016. Smart SysTech 2016 : European Conference on Smart Objects, Systems and Technologies : June 7 - 8, 2016 in Duisburg, Germany, VDE Verlag
Continuous Development of Highly Automated Driving Functions with Vehicle-in-the-Loop Using the Example of Euro NCAP Scenarios
Pfeffer, R.; Leichsenring, T.
2016. Simulation and Testing for Vehicle Technology : 7th Conference, Berlin, May 12-13, 2016, Springer International Publishing. doi:10.1007/978-3-319-32345-9_4
Effiziente Embedded-Multicore-Programmierung - Automatische Parallelisierung von Scilab/MATLAB-Anwendungen
Stripf, T.; Rückauer, M.; Oey, O.
2016. Tagungsband zum Workshop der Multiprojekt-Chip-Gruppe Baden-Württemberg (MPC), 55. Workshop: Karlsruhe, Februar 2016. Hrsg.: M. Ihle, 9–14
Plattformübergreifende Software für Multicore & FPGAs
Stripf, T.; Rueckauer, M.; Oey, O.
2016. Tagungsband Embedded Software Engineering Kongress 2016, 28.11. bis zum 2.12. 2016, Sindelfingen, 211–217
Analyzation and Evaluation of Vehicle and Infrastructure for Autonomous Driving on Public Transportation Depots
Lauber, A.; Glock, T.; Sax, E.; Wiedemann, M.
2016. Commercial vehicle technology 2016 ; proceedings of the 4. Commercial Vehicle Technology Symposium (CVT 2016) ; March 8-10, 2016 ; University of Kaiserslautern, Germany. Ed.: K. Berns, 3–12, Shaker Verlag
A Novel ADL-based Approach to Design Adaptive Application-Specific Processors
Tradowsky, C.; Harbaum, T.; Masing, L.; Becker, J.
2016. Best of ISVLSI 2016, Pittsburgh, Pennsylvania, U.S.A., July 11-13, 2016, Springer
Fast Feedback from Automated Tests Executed with the Product Build
Eyl, M.; Reichmann, C.; Müller-Glaser, K.
2016. 8th International Conference on Software Quality Days, SWQD 2016; Vienna; Austria; 18 January 2016 through 21 January 2016. Ed.: D. Winkler, 199–210, Springer International Publishing. doi:10.1007/978-3-319-27033-3_14
Adaptive Bandwidth Router for 3D Network-on-Chips
Friederich, S.; Lehmann, N.; Becker, J.
2016. 12th International Symposium on Applied Reconfigurable Computing, ARC 2016; Mangaratiba; Brazil; 22 March 2016 through 24 March 2016. Ed.: V. Bonato, 352–360, Springer International Publishing. doi:10.1007/978-3-319-30481-6_30
A Dynamic Cache Architecture for Efficient Memory Resource Allocation in Many-Core Systems
Tradowsky, C.; Cordero, E.; Orsinger, C.; Vesper, M.; Becker, J.
2016. 12th International Symposium on Applied Reconfigurable Computing, ARC 2016; Mangaratiba; Brazil; 22 March 2016 through 24 March 2016. Ed.: V. Bonato, 343–351, Springer International Publishing. doi:10.1007/978-3-319-30481-6_29
Stakeholder issues concerning the automotive E/E-architecture
Braun, L.; Armbruster, M.; Sax, E.
2016. 2016 International Conference on Electrical Systems for Aircraft, Railway, Ship Propulsion and Road Vehicles & International Transportation Electrification Conference (ESARS-ITEC), Toulouse, France, 2–4 November 2016, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ESARS-ITEC.2016.7841340
Adaptive Cache Structures
Tradowsky, C.; Cordero, E.; Orsinger, C.; Vesper, M.; Becker, J.
2016. 29th International Conference on Architecture of Computing Systems, ARCS 2016; Nuremberg; Germany; 4 April 2016 through 7 April 2016. Ed.: F. Hannig, 87–99, Springer International Publishing. doi:10.1007/978-3-319-30695-7_7
Programmable Logic as Device Virtualization Layer in Heterogeneous Multicore Architectures
Bapp, F. K.; Sander, O.; Sandmann, T.; Stoll, H.; Becker, J.
2016. 12th International Symposium on Applied Reconfigurable Computing, ARC 2016; Mangaratiba; Brazil; 22 March 2016 through 24 March 2016. Ed.: V. Bonato, 273–286, Springer International Publishing. doi:10.1007/978-3-319-30481-6_22
Power Management Controller for Online Power Saving in Network-on-Chips
Friederich, S.; Neber, M.; Becker, J.
2016. 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), Lyon, France, 21–23 September 2016, 109–116, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC.2016.22
An OpenCL-based framework for rapid virtual prototyping of heterogeneous architectures
Sotiriou-Xanthopoulos, E.; Masing, L.; Siozios, K.; Economakos, G.; Soudris, D.; Becker, J.
2016. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XVI), Samos, GR, July 17-21, 2016. Proceedings. Ed.: W. Najjar, 372–377, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAMOS.2016.7818375
Hybrid indoor pedestrian navigation combining an INS and a spatial non-uniform UWB-network
Hartmann, F.; Rifat, D.; Stork, W.
2016. 19th International Conference on Information Fusion (FUSION), Heidelberg, Germany, 5-8 July 2016, 549–556, Institute of Electrical and Electronics Engineers (IEEE)
A Content Adapted FPGA Memory Architecture with Pattern Recognition Capability for L1 Track Triggering in the LHC Environment
Harbaum, T.; Seboui, M.; Balzer, M.; Becker, J.; Weber, M.
2016. 24th IEEE International Symposium on Field-Programmable Custom Computing Machines, FCCM 2016; Washington; United States; 1 May 2016 through 3 May 2016, 184–191, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FCCM.2016.52
An FPGA-based track finder for the L1 trigger of the CMS experiment at the high luminosity LHC
Amstutz, C.; Ball, F. A.; Balzer, M. N.; Brooke, J.; Calligaris, L.; Cieri, D.; Clement, E. J.; Hall, G.; Harbaum, T. R.; Harder, K.; Hobson, P. R.; Iles, G. M.; James, T.; Manolopoulos, K.; Matsushita, T.; Morton, A. D.; Newbold, D.; Paramesvaran, S.; Pesaresi, M.; Reid, I. D.; et al.
2016. 20th Real Time Conference (RT), IEEE-NPSS, Padova, Italy, 6-10 June 2016, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RTC.2016.7543102
Emulation of a prototype FPGA track finder for the CMS Phase-2 upgrade with the CIDAF emulation framework
Amstutz, C.; Ball, F. A.; Balzer, M. N.; Brooke, J.; Calligaris, L.; Cieri, D.; Clement, E. J.; Hall, G.; Harbaum, T. R.; Harder, K.; Hobson, P. R.; Iles, G. M.; James, T.; Manolopoulos, K.; Matsushita, T.; Morton, A. D.; Newbold, D.; Paramesvaran, S.; Pesaresi, M.; Reid, I. D.; et al.
2016. 20th Real Time Conference (RT) IEEE-NPSS, Padova, Italy, 6-10 June 2016, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RTC.2016.7543110
A timing synchronizer system for beam test setups requiring galvanic isolation
Meder, L.; Emschermann, D.; Frühauf, J.; Muller, W. F. J.; Becker, J.
2016. IEEE-NPSS Real Time Conference (RT), Padova; Italy; 6 - 10 June 2016, 7543119, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RTC.2016.7543119
Cloud-based remote virtual prototyping platform for embedded control applications: Cloud-based infrastructure for large-scale embedded hardware-related programming laboratories
Werner, S.; Lauber, A.; Becker, J.; Sax, E.
2016. Proceedings of 2016 13th International Conference on Remote Engineering and Virtual Instrumentation, REV 2016, Madrid; Spain; 24 February 2016 through 26 February 2016, Art.Nr.: 7444459, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/REV.2016.7444459
Presentations
Programming Embedded Multicore Processors from MATLAB® / Scilab
Stripf, T.
2016. Embedded World, Nürnberg, Germany, 23. – 25. Februar 2016
Efficient Embedded Programming of Heterogeneous Multicore Platforms emmtrix Technologies
Stripf, T.
2016. Embedded Platforms Conference. International Conference on Future-Proof Hardware and Software Platforms, München, Germany, November 9–10, 2016
Efficient embedded programming of heterogeneous multicore platforms
Stripf, T.
2016. Embedded Platforms Conference. International Conference on Future-Proof Hardware and Software Platforms, München, Germany, November 9–10, 2016
Innovations such as Autonomous Driving demand new Methods and Tools in Systems Engineering
Sax, E.
2016. 2016 International Symposium on VLSI Design, Automation and Test (2016 VLSI-DAT), Hsinchu, TWN, April 25, 2016
Testing 2020
Sax, E.
2016. Testing ADAS, deep learning, swarm intelligence. doi:10.13140/RG.2.1.3941.9768
Modell-basierte Beschreibung von realen Verkehrsszenarien für die Simulation
Bach, J.; Otten, S.; Sax, E.
2016. IBS Workshop Automotive Software Engineering - Virtuelle Absicherung, Chemnitz, 07. Juni 2016
2015
PhD Theses
Entwicklung einer automatisierten Scheinwerfereinstellung mittels aktiver Triangulation. PhD dissertation
Söhner, S.
2015. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000047310
Holographie in der Displaytechnologie. PhD dissertation
Mayer, S. B.
2015. Karlsruher Institut für Technologie (KIT)
Journal Articles
"Smarte" Sensoren in der Feldebene: Intelligente Überwachung von industriellen Anlagen
Glock, T.; Hillenbrand, M.; Weiler, C.; Hübner, M.
2015. atp Magazin, 57 (11), Article no: 32. doi:10.17560/atp.v57i11.537
Parallelization of genetic algorithms for sorting permutations by reversals over biological data
Soncco-Álvarez, J. L.; Almeida, G. M.; Becker, J.; Ayala-Rincón, M.
2015. International journal of hybrid intelligent systems, 12 (1), 53–64. doi:10.3233/HIS-140205
Porter for the ITIV LABS – Objective-Related Engineering Education in an Undergraduate Laboratory
Tradowsky, C.; Lauber, A.; Werner, S.; Beuth, T.; Müller-Glaser, K. D.; Sax, E.
2015. Journal of Teaching and Education, 4 (1), 45–58
The Road to “ITIV Labs” – an Integrated Concept for Project-Oriented Systems Engineering Education
Beuth, T.; Gaedeke, T.; Tradowsky, C.; Becker, J.; Klimm, A.; Sander, O.
2015. International Journal of Information and Education Technology, 5 (4), 250–254. doi:10.7763/IJIET.2015.V5.511
Online-Analysis of Hits in the Belle-II Pixeldetector for Separation of Slow Pions from Background
Baehr, S.; Sander, O.; Heck, M.; Pulvermacher, C.; Feindt, M.; Becker, J.
2015. Journal of physics / Conference Series, 664 (9), Art.Nr. 092001. doi:10.1088/1742-6596/664/9/092001
A phasemeter concept for space applications that integrates an autonomous signal acquisition stage based on the discrete wavelet transform
Ales, F.; Mandel, O.; Gath, P.; Johann, U.; Braxmaier, C.
2015. Review of scientific instruments, 86 (8), Art.Nr.:084502. doi:10.1063/1.4928489
A prediction model for ocular damage - Experimental validation
Heussner, N.; Vagos, M.; Spitzer, M. S.; Stork, W.
2015. Journal of thermal biology, 52, 38–44. doi:10.1016/j.jtherbio.2015.05.005
Adaptive algorithm and tool flow for accelerating SystemC on many-core architectures
Reder, S.; Roth, C.; Bucher, H.; Sander, O.; Becker, J.
2015. Microprocessors and microsystems, 39 (8), 1063–1075. doi:10.1016/j.micpro.2015.06.001
Ultra-Dense, Single-Wavelength DFT-Spread OFDMA PON with Laserless 1.2 Gb/s ONU Ready for Silicon Photonics Integration
Schindler, P. C.; Agmon, A.; Wolf, S.; Bonk, R.; Meder, L.; Meltsin, M.; Ludwig, A.; Schmogrow, R.; Dreschmann, M.; Meyer, J.; Becker, J.; Nazarathy, M.; Ben-Ezra, S.; Pfeiffer, T.; Freude, W.; Leuthold, J.; Koos, C.
2015. Journal of Lightwave Technology, 33 (8), 1650–1659. doi:10.1109/JLT.2014.2386215
Nanotwinned silver nanowires: Structure and mechanical properties
Kobler, A.; Beuth, T.; Klöffel, T.; Prang, R.; Moosmann, M.; Scherer, T.; Walheim, S.; Hahn, H.; Kübel, C.; Meyer, B.; Schimmel, T.; Bitzek, E.
2015. Acta materialia, 92, 299–308. doi:10.1016/j.actamat.2015.02.041
Revision of an Optical Engineering Lecture Based on students’ Evaluation of University Teaching
Beuth, T.; Danilova, S.; Babu, H. U.; Bogatscher, S.; Shinohara, L.; Heussner, N.
2015. International Journal of Information and Education Technology, 5 (12), 890–896. doi:10.7763/IJIET.2015.V5.633
Conference Papers
Parameterization of a geometrical reaction time model for two beam nacelle lidars
Beuth, T.; Fox, M.; Stork, W.
2015. U. N. Singh (Ed.), Lidar Remote Sensing for Environmental Monitoring XV: SPIE Optical Engineering + Applications, 9-13 August 2015. Ed.: U.N. Singh, Art.-Nr.: 96120J, SPIE. doi:10.1117/12.2184053
System simulation method for fiber-based homodyne multiple target interferometers using short coherence length laser sources
Fox, M.; Beuth, T.; Streck, A.; Stork, W.
2015. M. A. Kahan & M. B. Levine-West (Eds.), Optical Modeling and Performance Predictions VII: SPIE Optical Engineering + Applications, 9-13 August 2015. Ed.: M.A. Kahan, Art.-Nr.: 95770F, SPIE. doi:10.1117/12.2186770
Horizontal geometrical reaction time model for two-beam nacelle LiDARs
Beuth, T.; Fox, M.; Stork, W.
2015. B. Bodermann, K. Frenner & R. M. Silver (Eds.), Modeling Aspects in Optical Metrology V: SPIE Optical Metrology, 21-25 June 2015. Ed.: B. Bodermann, Art.-Nr.: 95260G, SPIE. doi:10.1117/12.2181960
Signal simulation method for homodyne multiple target interferometers using short coherence length laser sources
Fox, M.; Beuth, T.; Streck, A.; Stork, W.
2015. B. Bodermann, K. Frenner & R. M. Silver (Eds.), Modeling Aspects in Optical Metrology V: SPIE Optical Metrology, 21-25 June 2015. Ed.: B. Bodermann, Art.-Nr.: 952609, SPIE. doi:10.1117/12.2184767
An emulation of transparent interface design based on TCP/IP implemented onto FPGA of an Altera Nios® Board
Silitonga, A.; Hutabarat, M.
2015. 2015 9th International Conference on Telecommunication Systems Services and Applications (TSSA), Bandung, 25–26 November 2015, Art.Nr. 7440432, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/TSSA.2015.7440432
Control based driving assistant functions’ test using recorded in field data
Bach, J.; Bauer, K.-L.; Holzäpfel, M.; Hillenbrand, M.; Sax, E.
2015. 7. Tagung Fahrerassistenzsysteme, München, 25.-26. November 2015
Network Interface with Task Spawning Support for NoC-Based DSM Architectures
Zaib, A.; Heißwolf, J.; Weichslgartner, A.; Wild, T.; Teich, J.; Becker, J.; Herkersdorf, A.
2015. 28th International Conference on Architecture of Computing Systems, ARCS 2015; Porto; Portugal; 24 March 2015 through 27 March 2015. Ed.: L. M. Pinho, 186–198, Springer International Publishing. doi:10.1007/978-3-319-16086-3_15
Position Paper: Towards Hardware-Assisted Decentralized Mapping of Applications for Heterogeneous NoC Architectures
Weichslgartner, A.; Heisswolf, J.; Zaib, A.; Wild, T.; Herkersdorf, A.; Becker, J.; Teich, J.
2015. ARCS 2015 : workshop proceedings / 28th International Conference on Architecture of Computing Systems, March 24 - 27, 2015, Porto, Portugal, School of Engineering of the Polytechnic of Porto (ISEP), 1–4, VDE Verlag
A Framework for Multi-FPGA Interconnection using Multi Gigabit Transceivers
Dreschmann, M.; Heisswolf, J.; Geiger, M.; Haußecker, M.; Becker, J.
2015. 28th Symposium on Integrated Circuits and Systems Design, SBCCI 2015; Salvador, Bahia; Brazil; 31 August 2015 through 4 September 2015, Art. Nr.: 5, Association for Computing Machinery (ACM). doi:10.1145/2800986.2800993
RAW Introduction and Committees
Becker, J.; Eguro, K.; Gohringer, D.; Luk, W.; Santambrogio, M. D.; Vaidyanathan, R.; Wilton, S.
2015. 29th IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2015; Hyderabad; India; 25 May 2015 through 29 May 2015, 68–69, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2015.157
Multiplier-free carrier-phase recovery for real-time receivers using processing in polar coordinates
Baeuerle, B.; Josten, A.; Abrecht, F.; Dornbierer, E.; Boesser, J.; Dreschmann, M.; Becker, J.; Leuthold, J.; Hillerkuss, D.
2015. Proceedings of the 2015 Optical Fiber Communications Conference and Exhibition, OFC 2015, Los Angeles, California, USA, 22nd - 26th March 2015, Art.Nr. 7121905, Institute of Electrical and Electronics Engineers (IEEE)
Evaluation of analog and digital signal processing on PSoC architecture with DCT as use case: Comparison of an analog and software based implementation of the digital cosine transform on a Programmable System on Chip
Werner, S.; Stiehle, B.; Becker, J.
2015. Conference on Design and Architectures for Signal and Image Processing, DASIP 2015; Cracow; Poland; 23 September 2015 through 25 September 2015. Ed.: S. Cerisier, Art. Nr.: 7367260, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DASIP.2015.7367260
A Novel Concept for Adaptive Signal Processing on Reconfigurable Hardware
Figuli, P.; Tradowsky, C.; Martinez, J.; Sidiropoulos, H.; Siozios, K.; Stenschke, H.; Soudris, D.; Becker, J.
2015. 11th International Symposium on Applied Reconfigurable Computing, ARC 2015; Bochum; Germany; 13 April 2015 through 17 April 2015. Ed.: K. Sano, 311–320, Springer International Publishing. doi:10.1007/978-3-319-16214-0_26
TEAChER: TEach AdvanCEd Reconfigurable Architectures and Tools
Siozios, K.; Figuli, P.; Sidiropoulos, H.; Tradowsky, C.; Diamantopoulos, D.; Maragos, K.; Delicia, S. P.; Soudris, D.; Becker, J.
2015. 11th International Symposium on Applied Reconfigurable Computing, ARC 2015; Bochum; Germany; 13 April 2015 through 17 April 2015. Ed.: K. Sano, 103–114, Springer International Publishing. doi:10.1007/978-3-319-16214-0_9
Software-in-the-Loop simulation of embedded control applications based on Virtual Platforms
Werner, S.; Masing, L.; Lesniak, F.; Becker, J.
2015. 2015 25th International Conference on Field Programmable Logic and Applications (FPL), London, United Kingdom, 2–4 September 2015, Art.Nr. 7294020, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FPL.2015.7294020
Virtual prototyping of heterogeneous dynamic platforms using Open Virtual Platforms
Masing, L.; Werner, S.; Becker, J.
2015. 2015 10th IEEE International Symposium on Industrial Embedded Systems (SIES), Siegen, Germany, 8–10 June 2015, 152–155, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SIES.2015.7185053
Fault-tolerant Communication in Invasive Networks on Chip
Heisswolf, J.; Weichslgartner, A.; Zaib, A.; Friederich, S.; Masing, L.; Duden, M.; Klöpfer, R.; Teich, J.; Wild, T.; Herkersdorf, A.; Becker, J.
2015. Proceedings of the 2015 NASA/ESA Conference on Adaptive Hardware and Systems, June 15-18, 2015, Montreal, Canada, Art.Nr. 7231156. doi:10.1109/AHS.2015.7231156
Effiziente Embedded-Multicore-Programmierung
Oey, O.; Stripf, T.
2015. Tagungsband - Embedded Software Engineering Kongress 2015 : 30. November bis 4. Dezember 2015, Sindelfingen, 236–242, Elektronikpraxis
Power-Aware Design of Electronic System Level using Interoperation of Hybrid and Distributed Simulations
Oliveira, H.; Brito, A. V.; Melcher, E. U. K.; Bucher, H.; Araújo, J.; Duenha, L.
2015. Proceedings of the 28th Symposium on Integrated Circuits and Systems Design, SBCCI2015, Salvador, Bahia, Brazil, August 31 to September 4, 2015, 18:1–18:7, Association for Computing Machinery (ACM). doi:10.1145/2800986.2801023
A V2X Message Evaluation Methodology and Cross-Domain Modelling of Safety Applications in V2X-enabled E/E-Architectures
Bucher, H.; Buciuman, M.-F.; Klimm, A.; Sander, O.; Becker, J.
2015. Proceedings of the 8th International Conference on Simulation Tools and Techniques : 24-26 August 2015, Athens, Greece ; SIMUTools 2015. Ed.: Gary S. H. Tan, 71–78, ICST
On-demand reconfiguration for coprocessors in mixed criticality multicore systems
Viet Vu, D.; Sander, O.; Sandmann, T.; Heidelberger, J.; Baehr, S.; Becker, J.
2015. 2015 International Conference on High Performance Computing & Simulation (HPCS), Amsterdam, Netherlands, 20–24 July 2015. Ed.: Waleed W. Smari, 569–576, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/HPCSim.2015.7237094
Two Architecture Approaches for MILS Systems in Mobility Domains (Automobile, Railway and Avionik)
Adam, D.; Tverdyshev, S.; Rolfes, C.; Sandmann, T.; Baehr, S.; Sander, O.; Becker, J.; Baumgarten, U.
2015. International Workshop on MILS: Architecture and Assurance for Secure Systems (MILS 2015), 20.01.2015, Amsterdam
Embedded Virtualization Approaches for Ensuring Safety and Security within E/E Automotive Systems
Reinhardt, D.; Adam, D.; Lubbers, E.; Amarnath, R.; Schneider, R.; Gansel, S.; Schnitzer, S.; Herber, C.; Sandmann, T.; Michel, H. U.; Kaule, D.; Olkun, D.; Rehm, M.; Harnisch, J.; Richter, A.; Baehr, S.; Sander, O.; Becker, J.; Baumgarten, U.; Theiling, H.
2015. Embedded World Conference, Nürnberg, February 24 - 26, 2015
On-Demand Reconfiguration for Coprocessors in Mixed Criticality Multicore Systems
Viet Vu, D.; Sander, O.; Sandmann, T.; Heidelberger, J.; Baehr, S.; Becker, J.
2015. 7th International Workshop on Dependable Many-Core Computing (DMCC 2015), Amsterdam, July 20 – July 24, 2015
A distributed simulation platform using HLA for complex embedded systems design
Brito, A. V.; Bucher, H.; Oliveira, H.; Costa, L. F. S.; Sander, O.; Melcher, E. U. K.; Becker, J.
2015. 19th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications, DS-RT 2015, Chengdu, Sichuan, China, October 14-16, 2015. Proceedings, 195–202, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DS-RT.2015.16
Power estimation of an ECDSA core applied in V2X scenarios using heterogeneous distributed simulation
Bucher, H.; Klimm, A.; Sander, O.; Becker, J.
2015. 19th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications, DS-RT 2015, Chengdu, Sichuan, China, October 14-16, 2015. Proceedings, 187–194, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DS-RT.2015.35
Design and development of a laser fine pointing sensor
Ales, F.; Gath, P.; Johann, U.; Mandel, O.; Braxmaier, C.
2015. AIAA Guidance, Navigation, and Control Conference 2015 : Kissimmee, Florida, USA, 5 - 9 January 2015, 9, Curran
Designing applications for heterogeneous many-core architectures with the FlexTiles Platform
Janßen, B.; Schwiegelshohn, F.; Koedam, M.; Duhem, F.; Masing, L.; Werner, S.; Huriaux, C.; Courtay, A.; Wheatley, E.; Goossens, K.; Lemonnier, F.; Millet, P. T.; Becker, J.; Sentieys, O.; Hübner, M.
2015. 15th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, SAMOS 2015, Samos, Greece, 254–261, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAMOS.2015.7363683
A power estimation technique for cycle-accurate higher-abstraction SystemC-based CPU models
Sotiriou-Xanthopoulos, E.; Delicia, G. S. P.; Figuli, P.; Siozios, K.; Economakos, G.; Becker, J.
2015. 2015 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, SAMOS 2015, Greece, 70–77, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAMOS.2015.7363661
Parametric design space exploration for optimizing QAM based high-speed communication
Delicia George Ford, S. P.; Figuli, P.; Becker, J.
2015. IEEE/CIC ICCC 2015 Symposium on Communication and Control Theory : IEEE/CIC International Conference on Communications in China, ICCC 2015; Shenzhen; China; 2 November 2015 through 5 November 2015, Art.Nr.: 7448662, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCChina.2015.7448662
Design of an embedded UWB hardware platform for navigation in GPS denied environments
Hartmann, F.; Pistorius, F.; Lauber, A.; Hildenbrand, K.; Becker, J.; Stork, W.
2015. 2015 IEEE Symposium on Communications and Vehicular Technology in the Benelux (SCVT) : 24 November 2015, Luxembourg, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SCVT.2015.7374232
Flexible real-time transmitter at 10 Gbit/s for SCFDMA PONs focusing on low-cost ONUs
Meder, L.; Schindler, P. C.; Agmon, A.; Meltsin, M.; Bonk, R.; Meyer, J.; Dreschmann, M.; Tolmachev, A.; Hilgendorf, R.; Nazarathy, M.; Ben-Ezra, S.; Pfeiffer, T.; Freude, W.; Leuthold, J.; Koos, C.; Becker, J.
2015. 2014 8th Conference on Design and Architectures for Signal and Image Processing, DASIP 2014; Madrid; Spain; 8 October 2014 through 10 October 2014, Art. Nr.: 7115601, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DASIP.2014.7115601
Reports/Preprints
Adapting Commercial Off-The-Shelf Multicore Processors for Safety-Related Automotive Systems Using Online Monitoring
Bapp, F. K.; Sander, O.; Sandmann, T.; Vu Duy, V.; Baehr, S.; Becker, J.
2015. SAE International. doi:10.4271/2015-01-0280
Presentations
Tatort Test "Test is not the last"
Sax, E.
2015. Vector Testing Symposium, Stuttgart, 07.05.2015. doi:10.13140/RG.2.1.3535.0164
A real-world vehicle in a virtual environment: vehicle-in-the-loop for reproducible real-time capable testing of advanced driver assistance systems
Pfeffer, R.; Schwab, S.; Leichsenring, T.; Zofka, M. R.
2015. 1. Internationale ATZ-Fachtagung Fahrerassistenzsysteme, 2016
Redesign of wind turbines based on LiDAR technology, is it worth it? - A discussion based on a simple model for the tower’s initial costs
Beuth, T.; Porcher, C.; Shinohara, L.
2015. Energy, Science and Technology, Conference and Exhibition (EST 2015), Karlsruhe, Germany, May 20–22, 2015
Science Communication on the Internet
Neue Kommunikationsarten in der Automobilvernetzung
Weber, M.
2015. all-electronics.de
2014
Books
Hybrid Fault Tolerance Techniques to Detect Transient Faults in Embedded Processors
Azambuja, J. R.; Kastensmidt, F.; Becker, J.
2014. Springer International Publishing. doi:10.1007/978-3-319-06340-9
PhD Theses
A Doppler Lidar system with preview control for wind turbine load mitigation. PhD dissertation
Shinohara, L.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000048736
A Wearable Platform for Patient Monitoring during Mass Casualty Incidents. PhD dissertation
Rodriguez Martinez, J. D.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000047681
Time-of-Flight Laserkamera mit großer Empfangsapertur. PhD dissertation
Bogatscher, S.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000047234
Parallele und kooperative Simulation für eingebettete Multiprozessorsysteme. PhD dissertation
Roth, C.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000046592
Integration von physiologischem Feedback in Lernanwendungen unter Alltagsbedingungen. PhD dissertation
Schaaff, K.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000045323
A Scalable and Adaptive Network on Chip for Many-Core Architectures. PhD dissertation
Heißwolf, J.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000045305
HW/SW Co-Design Framework für Hochgeschwindigkeits-OFDM Signalverarbeitung. PhD dissertation
Meyer, J. H.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000045040
From Context to Content: Designing Sensor Support for Reflective Learning. PhD dissertation
Müller, L.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000044903
Plattform für das interaktive ambulante psychophysiologische Assessment. PhD dissertation
Stumpp, J.
2014. Karlsruher Institut für Technologie (KIT)
Development of multiparametric activity monitoring in everyday life. PhD dissertation
Anastasopoulou, P.
2014. Karlsruher Institut für Technologie (KIT)
Measuring the Level of Anesthesia using Signal Processing Techniques and System Identification Modeling. PhD dissertation
Al-Taan, A. S. A.
2014. Karlsruher Institut für Technologie (KIT)
Komponentenbasierte Steuerung und Fehlersuche für eingebettete Systeme auf Modellebene. PhD dissertation
Schwalb, T.
2014. Karlsruher Institut für Technologie (KIT)
Self-Powered Wireless Sensors for Condition Monitoring of Industrial Systems. PhD dissertation
Niestoruk, L. A.
2014. Karlsruher Institut für Technologie (KIT)
Bachelor's Theses
A Mobile Robot System for Ambient Intelligence. bachelor’s thesis
Mayr, M.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000041176
Journal Articles
Classification of Normal and Pathological Heart Signal Variability Using Machine Learning Techniques
Abbasi, A. Q.; Hussain, L.; Nadeem, S. A.; Aziz, W.
2014. International journal of darshan institute on engineering research & emerging technologies, 3 (2), Article: 3
Symbolic Time Series Analysis of Temporal Gait Dynamics
Abbasi, A. Q.; Loun, W. A.
2014. Journal of signal processing systems, 74 (3), 417–422. doi:10.1007/s11265-013-0836-1
Anti-Counterfeiting technique based Reflective-Physically Unclonable Functions
Umesh Babu, H.; Heußner, N.; Stork, W.
2014. DGaO-Proceedings, 115. Tagung, A30/2 S
Optical system design and experimental evaluation of a coherent Doppler wind Lidar system for the predictive control of wind turbine
Shinohara, L.; Tauscher, J. A.; Beuth, T.; Heussner, N.; Fox, M.; Babu, H. U.; Stork, W.
2014. Optical review, 21 (5), 698–704. doi:10.1007/s10043-014-0113-y
GPU-based iterative transmission reconstruction in 3D ultrasound computer tomography
Birk, M.; Dapp, R.; Ruiter, N. V.; Becker, J.
2014. Journal of Parallel and Distributed Computing, 74 (1), 1730–1743. doi:10.1016/j.jpdc.2013.09.007
Large aperture at low cost three-dimensional time-of-flight range sensor using scanning micromirrors and synchronous detector switching
Bogatscher, S.; Streck, A.; Fox, M.; Meinzer, S.; Heussner, N.; Stork, W.
2014. Applied Optics, 53 (8), 1570–1582. doi:10.1364/AO.53.001570
Prediction of temperature and damage in an irradiated human eye-Utilization of a detailed computer model which includes a vectorial blood stream in the choroid
Heussner, N.; Holl, L.; Nowak, T.; Beuth, T.; Spitzer, M. S.; Stork, W.
2014. Computers in Biology and Medicine, 51 (1), 35–43. doi:10.1016/j.compbiomed.2014.04.021
Erfassung körperlicher Aktivität mittels Akzelerometrie. Möglichkeiten und Grenzen aus technischer Sicht
Hey, S.; Anastasopoulou, P.; Haaren, B. von
2014. Bewegungstherapie und Gesundheitssport : B & G, 30 (2), 73–78. doi:10.1055/s-0033-1361577
Optimizing flying-spot display designs based on the upcoming edition of the laser safety standard
Heussner, N.; Bogatscher, S.; Stork, W.
2014. Journal of the Society for Information Display, 22 (1), 9–17. doi:10.1002/jsid.218
Demonstration of an SOA-assisted open metro-access infrastructure for heterogeneous services
Schmuck, H.; Bonk, R.; Poehlmann, W.; Haslach, C.; Kuebart, W.; Karnick, D.; Meyer, J.; Fritzsche, D.; Weis, E.; Becker, J.; Freude, W.; Pfeiffer, T.
2014. Optics express, 22 (1), 737–748. doi:10.1364/OE.22.000737
Home-based system for physical activity monitoring in patients with multiple sclerosis (Pilot study)
Shammas, L.; Zentek, T.; Haaren, B. von; Schlesinger, S.; Hey, S.; Rashid, A.
2014. BioMedical Engineering Online, 13 (1), 10. doi:10.1186/1475-925X-13-10
OFDM/WDM PON With Laserless, Colorless 1 GB/s ONUs Based on Si-PIC and Slow IC
Agmon, A.; Nazarathy, M.; Marom, D. M.; Ben-Ezra, S.; Tolmachev, A.; Killey, R.; Bayvel, P.; Meder, L.; Hübner, M.; Meredith, W.; Vickers, G.; Schindler, P. C.; Schmogrow, R.; Hillerkuss, D.; Freude, W.; Koos, C.; Leuthold, J.
2014. Journal of optical communications and networking, 6 (3), 225–237. doi:10.1364/JOCN.6.000225
Real-time Nyquist signaling with dynamic precision and flexible non-integer oversampling
Schmogrow, R.; Meyer, M.; Schindler, P. C.; Nebendahl, B.; Dreschmann, M.; Meyer, J.; Josten, A.; Hillerkuss, D.; Ben-Ezra, S.; Becker, J.; Koos, C.; Freude, W.; Leuthold, J.
2014. Optics express, 22 (1), 193–209. doi:10.1364/OE.22.000193
A comprehensive comparison of GPU- and FPGA-based acceleration of reflection image reconstruction for 3D ultrasound computer tomography
Birk, M.; Zapf, M.; Balzer, M.; Ruiter, N.; Becker, J.
2014. Journal of Real-Time Image Processing, 9 (1), 159–170. doi:10.1007/s11554-012-0267-4
Conference Papers
Design and evaluation of a short coherence length laser-based Doppler wind Lidar system for wind energy applications
Shinohara, L.; Asche-Tauscher, J.; Fox, M.; Beuth, T.; Stork, W.
2014. SPIE Photonics Europe: Optical Sensing and Detection III. Ed.: F. Berghams, Art.-Nr.: 91411M, SPIE. doi:10.1117/12.2052623
System Design of a Coherent Laser Doppler Wind Profiler for the Predictive Control of Wind Turbine System (WTS)
Shinohara, L.; Beuth, T.; Fox, M.; Heussner, N.; Umesh Babu, H.; Stork, W.
2014. 9th Internatonal conference on Optics-Photonics design & Fabrication, 12-14 February 2014, Itabashi, Japan, Optica Publishing Group (OSA)
Multi-length Fiber Delay Line for the Wind Turbine Pitch Control with a Doppler Wind Lidar System
Shinohara, L.; Beuth, T.; Fox, M.; Asche-Tauscher, J.; Stork, W.
2014. DGaO Proceedings 2014
Vergleich mechanisch robuster Scannerkonzepte mit grosser Empfangsapertur
Bogatscher, S.; Streck, A.; Fox, M.; Stork, W.
2014. DGaO Proceedings 2014
Calculation of Backscatter Coefficients for Lidar systems by Mie-Scattering Theory and Atmospheric Properties
Beuth, T.; Shinohara, L.; Fox, M.; Stork, W.
2014. DGaO Proceedings 2014
Concept implementation of sole module for software-based UL subframe mapping method on a TDD WiMAX IEEE 802.16e-based Subscriber Station
Silitonga, A.; Adiono, T.; Wicaksono, I.
2014. 2014 2nd International Conference on Information and Communication Technology (ICoICT), Bandung, Indonesia, 28–30 May 2014, 340–345, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICoICT.2014.6914089
Evaluation of X2-handover performance based on RSRP measurement with Friis path loss using network simulator version 3 (NS-3)
Assyadzily, M.; Suhartomo, A.; Silitonga, A.
2014. 2014 2nd International Conference on Information and Communication Technology (ICoICT), Bandung, Indonesia, 28–30 May 2014, 436–441, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICoICT.2014.6914102
Ontology-based knowledge representation of failure mode and effect analysis on Electric/Electronic Architecture modeling conforming to the ISO 26262
Silitonga, A.; Hillenbrand, M.
2014. 2014 International Conference of Advanced Informatics: Concept, Theory and Application (ICAICTA), Bandung, Indonesia, 20–21 August 2014, 260–265, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICAICTA.2014.7005951
A new approach of quantum-inspired genetic algorithm for self-generation of fuzzy logic controller
Bukhori, I.; Silitonga, A.
2014. 2014 IEEE International Conference on Intelligent Autonomous Agents, Networks and Systems (INAGENTSYS), BANDUNG, Indonesia, 19–21 August 2014, 30–35, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/INAGENTSYS.2014.7005721
Alternative Antriebskonzepte im Omnibus
Sax, E.
2014. 6. VDI Fachtagung E/E Nutzfahrzeuge, Baden-Baden, 23.9.2014, VDI Fachmedien
The Invasive Network on Chip - A Multi-Objective Many-Core Communication Infrastructure
Heisswolf, J.; Zaib, A.; Weichslgartner, A.; Karle, M.; Singh, M.; Wild, T.; Teich, J.; Herkersdorf, A.; Becker, J.
2014. ARCS 2014 : workshop proceedings / 27th International Conference on Architecture of Computing Systems, February 25 – 28, 2014, Luebeck, Germany, University of Luebeck, Institute of Computer Engineering. Ed.: W. Stechele, 1–8, VDE Verlag
Adaptive Algorithm and Tool Flow for Accelerating SystemC on Many-Core Architectures
Roth, C.; Reder, S.; Bucher, H.; Sander, O.; Becker, J.
2014. 17th Euromicro Conference on Digital System Design, DSD 2014; Verona; Italy; 27 August 2014 through 29 August 2014, 137–145, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD.2014.62
SmartLoCore: A Concept for an Adaptive Power-Aware Localization Processor
Tradowsky, C.; Gadeke, T.; Bruckschlogl, T.; Stork, W.; Muller-Glaser, K.-D.; Becker, J.
2014. 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2014; Turin; Italy; 12 February 2014 through 14 February 2014, 478–481, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/PDP.2014.118
A Hierarchical Architecture Description for Flexible Multicore System Simulation
Bruckschloegl, T.; Oey, O.; Rueckauer, M.; Stripf, T.; Becker, J.
2014. 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA), 190–196, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISPA.2014.33
A Simulation Tool Chain for Investigating Future V2X-based Automotive E/E Architectures
Roth, C.; Bucher, H.; Brito, A.; Sander, O.; Becker, J.
2014. Proceedings of the 7th European Congress on Embedded Real Time Software and Systems (ERTS²), 4 - 7 February 2014, Toulouse, France
Architectural Measures Against Radiation Effects in Multicore SoC for Safety Critical Applications
Sander, O.; Bapp, F.; Sandmann, T.; Viet Vu, D.; Baehr, S.; Becker, J.
2014. IEEE 57th Midwest Symposium on Circuits and Systems (MWSCAS 14), 3-6 Aug. 2014, College Station, TX, USA. doi:10.1109/MWSCAS.2014.6908502
Hardware virtualization support for shared resources in mixed-criticality multicore systems
Sander, O.; Sandmann, T.; Viet Vu, D.; Baehr, S.; Bapp, F.; Becker, J.; Michel, H. U.; Kaule, D.; Adam, D.; Lubbers, E.; Hairbucher, J.; Richter, A.; Herber, C.; Herkersdorf, A.
2014. 17th Design, Automation and Test in Europe, DATE 2014; Dresden; Germany; 24 - 28 March 2014, Art.Nr. 6800282. doi:10.7873/date.2014.081
Virtualization Support for FPGA-based Coprocessors Connected via PCI Express to an Intel Multicore Platform
Viet Vu, D.; Sandmann, T.; Baehr, S.; Sander, O.; Becker, J.
2014. IEEE 28th International Parallel & Distributed Processing Symposium Workshops : proceedings : IPDPSW 2014 : 19-23 May 2014, Phoenix, Arizona, 305–310, IEEE Computer Society. doi:10.1109/IPDPSW.2014.42
Enabling Partial Reconfiguration for Coprocessors in Mixed Criticality Multicore Systems Using PCI Express Single-Root I/O Virtualization
Vu, D. V.; Sander, O.; Sandmann, T.; Baehr, S.; Heidelberger, J.; Becker, J.
2014. 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2014), Cancun, Mexico, December 8 - 10, 2014. Ed.: M. Huebner, 38–75, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReConFig.2014.7032516
A Flexible Interface Architecture for Reconfigurable Coprocessors in Embedded Multicore Systems using PCIe Single-Root I/O Virtualization
Sander, O.; Sandmann, T.; Baehr, S.; Viet Vu, D.; Lubbers, E.; Becker, J.
2014. The 2014 International Conference on Field-Programmable Technology (ICFPT 2014), Shanghai, China, December 10 - 12, 2014. Ed.: J. Chen, 223–226, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FPT.2014.7082780
Towards Dynamic Cache and Bandwidth Invasion
Tradowsky, C.; Schreiber, M.; Vesper, M.; Domladovec, I.; Braun, M.; Bungartz, H.-J.; Becker, J.
2014. Reconfigurable Computing: Architectures, Tools, and Applications : 10th International Symposium, ARC 2014, Vilamoura, Portugal, April 14-16, 2014. Proceedings. Ed.: D.Göhringer, 97–107, Springer. doi:10.1007/978-3-319-05960-0_9
Profile-Guided Compilation of Scilab Algorithms for Multiprocessor Systems
Becker, J.; Bruckschloegl, T.; Oey, O.; Stripf, T.; Goulas, G.; Raptis, N.; Valouxis, C.; Alefragis, P.; Voros, N. S.; Gogos, C.
2014. Reconfigurable Computing: Architectures, Tools, and Applications : 10th International Symposium, ARC 2014, Vilamoura, Portugal, April 14-16, 2014. Proceedings. Ed.: D.Göhringer, 330–336, Springer. doi:10.1007/978-3-319-05960-0_37
Lightning-safe Monitoring of Wind Turbine Rotor Blades Using Optically Powered Sensors
Worms, K.; Klamouris, C.; Wegh, F.; Meder, L.; Volkmer, D.; Philipps, S. P.; Reichmuth, S. K.; Helmers, H.; Bett, A. W.; Vourvoulakis, J.; Koos, C.; Freude, W.; Leuthold, J.; Stork, W.
2014. Sensoren und Messsysteme : Beiträge der 17. ITG/GMA-Fachtagung vom 3. bis 4. Juni 2014, Nürnberg. Hrsg.: R. Mattheis, CD-Rom/4 S., VDE Verlag
Fusion of wireless ranging and inertial sensors for precise and scalable indoor localization
Gaedeke, T.; Johnson, M.; Hedley, M.; Stork, W.
2014. 2014 IEEE International Conference on Communications workshops (ICC 2014), Sydney, Australia, 10 - 14 June 2014, 138–143, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCW.2014.6881186
Ultra-dense, single-wavelength DFT-spread OFDM PON with laserless 1 Gb/s ONU at only 300 MBd per spectral group
Schindler, P. C.; Agmon, A.; Wolf, S.; Bonk, R.; Meder, L.; Meltsin, M.; Ludwig, A.; Becker, J.; Nazarathy, M.; Ben-Ezra, S.; Pfeiffer, T.; Freude, W.; Leuthold, J.; Koos, C.
2014. 2014 European Conference on Optical Communication, ECOC 2014; Cannes; France; 21 September 2014 - 25 September 2014, Art. Nr.: 6964097, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ECOC.2014.6964097
CAP: Communication aware programming
Heisswolf, J.; Zaib, A.; Zwinkau, A.; Kobbe, S.; Weichslgartner, A.; Teich, J.; Henkel, J.; Snelting, G.; Herkersdorf, A.; Becker, J.
2014. 51st ACM/EDAC/IEEE Design Automation Conference (DAC’14), San Francisco, California/USA, June 1-5, 2014, 1–6, Association for Computing Machinery (ACM). doi:10.1145/2593069.2593103
Reports/Preprints
Receding horizon control (RHC) on a Lidar based preview controller design for the active wind turbine pitch system
Shinohara, L.; Xu, S.; Beuth, T.; Stork, W.
2014. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000043016
Presentations
Considering laser modulation for classification of scanning laser devices
Bogatscher, S.; Heussner, N.; Stork, W.
2014. 9th International Conference on Optics-photonics Design and Fabrication (ODF 2014), Itabashi, Japan, February 12–14, 2014
The impact of the revisions to the laser safety standard on the classification of scanned-beam projection systems
Heussner, N.; Bogatscher, S.; Danilova, S.; Stork, W.
2014. 9th International Conference on Optics-photonics Design and Fabrication (ODF 2014), Itabashi, Japan, February 12–14, 2014
2013
Book Chapters
Embedded Systems Start-Up Under Timing Constraints on Modern FPGAs
Meyer, J.; Noguera, J.; Hübner, M.; Stewart, R.; Becker, J.
2013. Embedded Systems Design with FPGAs. Ed.: P. Athanas, 149–172, Springer Verlag. doi:10.1007/978-1-4614-1362-2_7
PhD Theses
Nichtinvasives 24/7-Monitoring zur Verlaufskontrolle bei chronischer Herzinsuffizienz. PhD dissertation
Lamparth, S.
2013. Karlsruher Institut für Technologie (KIT)
Softwareframework für Prozessoren mit variablen Befehlssatzarchitekturen. PhD dissertation
Stripf, T.
2013. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000043813
Szeneninterpretation unter Verwendung multimodaler Sensorik und Salienzmaßen. PhD dissertation
Müller, M.
2013. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000042180
Mixed-signal reconfigurable electronic system for industrial safety-critical applications. PhD dissertation
Girardey, R.
2013. Karlsruher Institut für Technologie (KIT)
Reflective-Physically Unclonable Function based System for Anti-Counterfeiting. PhD dissertation
Umesh Babu, H.
2013. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000038387
Analysis, Design and Traceability of Model Transformations. PhD dissertation
Krishnan, A.
2013. Shaker Verlag
Eine moderne Telecare-Plattform für die Unterstützung der Telekooperation und Teledokumentation im Gesundheitswesen. PhD dissertation
Rosales Saurer, B.
2013. Karlsruher Institut für Technologie (KIT)
Methoden zur Erstellung eines laufzeitadaptiven und zweidimensional rekonfigurierbaren Systems. PhD dissertation
Braun, L.
2013. Karlsruher Institut für Technologie (KIT)
Journal Articles
AUTOSAR lernt Ethernet
Weber, M.
2013. Hanser Automotive / Special : connected cars. Titelzusatz zur Unterreihe 2013: automotive networks, 2013, 30–33
A comparison of two commercial activity monitors for measuring step counts during different everyday life walking activities
Anastasopoulou, P.; Bachis, S.; Haertel, S.; Hey, S.
2013. International journal of sports science and engineering, 7 (1), 31–35
HETA: Hybrid error-detection technique using assertions
Azambuja, J. R.; Altieri, M.; Becker, J.; Kastensmidt, F. L.
2013. IEEE Transactions on Nuclear Science, 60 (4), 2805–2812. doi:10.1109/TNS.2013.2246798
Suppression of the anomaly of low-frequency permittivity in ferroelectric triglycine sulfate by microwaves
Danilova, S. V.; Ivanova, E. S.; Malyshkin, A. K.; Ovchinnikova, G. I.; Pirogov, Y. A.
2013. Physics of Wave Phenomena, 21 (3), 231–234. doi:10.3103/S1541308X13030102
Compiling Scilab to high performance embedded multicore systems
Stripf, T.; Oey, O.; Bruckschloegl, T.; Becker, J.; Rauwerda, G.; Sunesen, K.; Goulas, G.; Alefragis, P.; Voros, N. S.; Derrien, S.; Sentieys, O.; Kavvadias, N.; Dimitroulakos, G.; Masselos, K.; Kritharidis, D.; Mitas, N.; Perschke, T.
2013. Microprocessors and Microsystems, 37 (8 Part C), 1033–1049. doi:10.1016/j.micpro.2013.07.004
Colorless FDMA-PON with flexible bandwidth allocation and colorless, low-speed ONUs
Schindler, P. C.; Schmogrow, R.; Dreschmann, M.; Meyer, J.; Tomkos, I.; Prat, J.; Krimmel, H.-G.; Pfeiffer, T.; Kourtessis, P.; Ludwig, A.; Karnick, D.; Hillerkuss, D.; Becker, J.; Koos, C.; Freude, W.; Leuthold, J.
2013. IEEE/OSA Journal of Optical Communications and Networking, 5 (10), A204-A212. doi:10.1364/JOCN.5.00A204
Novel Techniques for Smart Adaptive Multiprocessor SoCs
Ost, L.; Garibotti, R.; Sassatelli, G.; Marchesan Almeida, G.; Busseuil, R.; Butko, A.; Robert, M.; Becker, J.
2013. IEEE Transactions on Computers, PP (99), 1/1–1. doi:10.1109/TC.2013.57
Providing multiple hard latency and throughput guarantees for packet switching networks on chip
Heisswolf, J.; König, R.; Kupper, M.; Becker, J.
2013. Computers & electrical engineering, 39 (8), 2603–2622. doi:10.1016/j.compeleceng.2013.06.005
Reliable and adaptive network-on-chip architectures for cyber physical systems
Göhringer, D.; Meder, L.; Oey, O.; Becker, J.
2013. ACM Transactions on Embedded Computing Systems, 12 (1), 51/1–21. doi:10.1145/2435227.2435247
Virtual networks - distributed communication resource management
Heisswolf, J.; Zaib, A.; Weichslgartner, A.; König, R.; Wild, T.; Teich, J.; Herkersdorf, A.; Becker, J.
2013. ACM Transactions on Reconfigurable Technology and Systems, 6 (2), 8/1–14. doi:10.1145/2492186
JITPR: A framework for supporting fast application’s implementation onto FPGAs
Sidiropoulos, H.; Siozios, H.; Figuli, P.; Soudris, D.; Hübner, M.; Becker, J.
2013. ACM Transactions on Reconfigurable Technology and Systems, 6 (2), 7/1–12. doi:10.1145/2492185
Acute and medium term effects of a 10-week running intervention on mood state in apprentices
Walter, K.; Haaren, B. von; Löffler, S.; Härtel, S.; Jansen, C.-P.; Werner, C.; Stumpp, J.; Bös, K.; Hey, S.
2013. Frontiers in psychology, 4, 411. doi:10.3389/fpsyg.2013.00411
Conference Papers
Comparative study of multiscale entropy analysis and symbolic time series analysis when applied to human gait dynamics
Abbasi, A. Q.; Aziz, W.; Saeed, S.; Ahmed, I.; Hussain, L.
2013. 2013 International Conference on Open Source Systems and Technologies (ICOSST), Lahore, Pakistan, December 16–18, 2013, 126–132, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICOSST.2013.6720618
Infrared Depth Sensor Kinect-based Smart Room Controller
Silitonga, A.; Thoeng, S.
2013. Proceedings of 2014 IEEE Sensors Applications Symposium (SAS); Queenstown, New Zealand; February, 18-20, 2014
Blind and lighting control to maintain comfort light intensity of the classroom utilizing Microcontroller ATmega8535
Silitonga, A.; Indrawan, I. G. L. W.
2013. 2013 International Conference on Information Technology and Electrical Engineering (ICITEE), Yogyakarta, Indonesia, 7–8 October 2013, 438–443, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICITEED.2013.6676282
Bus: Innovationsträger mit Zukunft
Sax, E.
2013. 17. Euroforum Jahrestagung Elektroniksysteme im Automobil, München, 08.02.2013
Concurrent Error Detection in Multipliers by Using Reduced Wordlength Multiplication and Logarithms
Uhl, A.; Becker, J.
2013. Proceedings of the 16th Euromicro Conference on Digital System Design, DSD 2013, Los Alamitos, California, USA, 4th - 6th September 2013, 129–135, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD.2013.22
An FPGA-based multi-core approach for pipelining computing stages
Azarian, A.; Cardoso, J. M. P.; Werner, S.; Becker, J.
2013. 28th Annual ACM Symposium on Applied Computing, SAC 2013; Coimbra; Portugal; 18 March 2013 through 22 March 2013, 1533–1540, Association for Computing Machinery (ACM). doi:10.1145/2480362.2480647
Evaluation of a New Method for Reproducible Real-time Testing of ADAS ECUs - Using the In-Vehicle-Simulation Approach
Pfeffer, R.; Schyr, C.; Schmidt, S.; Strand, M.; Bär, T.
2013. Proceedings of 23rd International Symposium on Dynamics of Vehicles and Trucks, 2013, Qingdao, China
Hardware based Coprocessor Scheduling for Safety Critical Applications in Automotive and Avionics
Sander, O.; Sandmann, T.; Viet Vu, D.; Bapp, F.; Becker, J.
2013. International Conference on Computing, Networking and Communications (ICNC 2014), Honolulu, Hawaii, USA, February 3-6, 2014
Studies of Bunch-bunch Interactions in the ANKA Storage Ring with Coherent Synchrotron Radiation using an Ultra-fast Terahertz Detection System
Müller, A.-S.; Balzer, B. M.; Caselle, M.; Hiller, N.; Hofherr, M.; Ilin, K. S.; Judin, V.; Kehrer, B.; Marsching, S.; Naknaimueang, S.; Nasse, M. J.; Raasch, J.; Scheuring, A.; Schuh, M.; Schwarz, M.; Siegel, M.; Smale, N. J.; Steinmann, J. L.; Thoma, P.; Weber, M.; et al.
2013. 4th International Particle Accelerator Conference (IPAC), Shanghai, CHN, May 12-17, 2013. Ed.: Z. Dai, 109–111, JACoW Publishing
Poster Abstract: An Experimental Approach to Passive Person Localization in Wireless Networks
Gädeke, T.; Waddey, A. B.; Stork, W.; Müller-Glaser, K. D.
2013. European Conference on Smart Objects, Systems and Technologies, June 11-12, 2013 in Erlangen/Nuremberg, Germany, VDE Verlag
Development and evaluation of distributed simulation of embedded systems using ptolemy and HLA
Brito, A. V.; Negreiros, A. V.; Roth, C.; Sander, O.; Becker, J.
2013. Proceedings - 17th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications - DS-RT 2013, 30 October - 1 November 2013, Delft, Netherlands, 189–196, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DS-RT.2013.28
Rerouting: Scalable NoC self-optimization by distributed hardware-based connection reallocation
Heisswolf, J.; Singh, M.; Kupper, M.; König, R.; Becker, J.
2013. 2013 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico December 9-11, 2013. Ed.: R. Cumplido, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReConFig.2013.6732328
Laser-Rangefinder auf Basis von MEMS-Spiegeln für adaptive Robotik
Bogatscher, S.; Streck, A.; Fox, M.; Meinzer, S.; Stork, W.
2013. Von Bauelementen zu Systemen : Mikrosystemtechnik Kongress 2013, 14. - 16. Oktober 2013 in Aachen : Proceedings. Hrsg.: W. Mokwa, 211–214, VDE Verlag
A Platform-Independant Runtime Methodology for Mapping Multiple Applications onto FPGAs through Resource Virtualization
Sidiropoulos, H.; Figuli, P.; Siozos, K.; Soudris, D.; Becker, J.
2013. 23rd International Conference on Field Programmable Logic and Applications (FPL), 2013, Porto, Portugal, Sept. 2 - 4, 2013, proceedings. Ed.: J. M. P. Cardoso, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FPL.2013.6645564
Addiguration: Exploring configuration behavior of Spartan-3 devices
Dreschmann, M.; Sander, O.; Klimm, A.; Roth, C.; Becker, J.
2013. 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip, ReCoSoC 2013, Darmstadt, Germany, 10-12 July, 2013, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReCoSoC.2013.6581543
Parallelization and virtualization of genetic algorithms for sorting permutations by reversals
Soncco-Ãlvarez, J. L.; Almeida, G. M.; Becker, J.; Ayala-Rincon, M.
2013. 5th World Congress on Nature and Biologically Inspired Computing, NaBIC 2013, Fargo, North Dakota, USA, August 12 - 14, 2013, 29–35, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NaBIC.2013.6617871
Coarse-grain optimization and code generation for embedded multicore systems
Goulas, G.; Valouxis, C.; Alefragis, P.; Voros, N. S.; Gogos, C.; Oey, O.; Stripf, T.; Bruckschloegl, T.; Becker, J.; El Moussawi, A.; Naullet, M.; Yuki, T.
2013. Proceedings - 16th Euromicro Conference on Digital System Design, DSD 2013, 4-6 September 2013, Santander, Spain. Ed.: J. S. Matos, 379–386, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD.2013.48
A flexible implementation of the PSO algorithm for fine-and coarse-grained reconfigurable embedded systems
Rueckauer, M.; Munoz, D. M.; Stripf, T.; Oey, O.; Llanos, C. H.; Becker, J.
2013. 2013 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico December 9-11, 2013. Ed.: R. Cumplido, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReConFig.2013.6732293
Einführung eines fakultäts- und semesterübergreifenden, frühphasigen Teampraktikums für die elektro- und informationstechnischen Bachelorstudiengänge am KIT
Beuth, T.; Ayhan, S.; Zwick, T.
2013. TeachING-LearnING.EU Tagungsband "movING Forward - Engineering Education from vision to mission", 18. und 19. Juni 2013, Technische Universität Dortmund, RWTH Aachen University, Ruhr-Universität Bochum. Hrsg.: E. Tekkaya., 124–130, Techn. Univ
Bringing Accuracy to Open Virtual Platforms (OVP): A Safari from High-Level Tools to Low-Level Microarchitectures
Shalina, G.; Bruckschloegl, T.; Figuli, p.; Tradowsky, C.; Almeida, G.; Becker, J.
2013. Proceedings on International Conference on Innovations In Intelligent Instrumentation, Optimization and Electrical Sciences, ICIIIOSP-2013, Dec. 2013, 22–27, Foundation of Computer Science
A New Approach to Model-Based Development for Audio Signal Processing
Tradowsky, C.; Figuli, P.; Seidenspinner, E.; Held, F.; Becker, J.
2013. 134th Audio Engineering Society Convention (AES’13), Rome, Italy, May 4-7, 2013 [Konferenz], 658–667, Curran
Thermodynamic finite-element-method (FEM) eye model for laser safety considerations
Heussner, N.; Holl, L.; Shults, A.; Beuth, T.; Umesh Babu, H.; Shinohara, L.; Bogatscher, S.; Wippler, M.; Stork, W.
2013. Optical Interactions with Tissue and Cells XXIV, San Francisco, California/USA, February 2, 2013. [Konferenz]. Ed.: R.J. Thomas, 1–8, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2004594
A bi-modal ad-hoc localization scheme for wireless networks based on RSS and ToF fusion
Gädeke, T.; Schmid, J.; Krüger, M.; Jany, J.; Stork, W.; Müller-Glaser, K. D.
2013. 10th Workshop on Positioning Navigation and Communication (WPNC’13), Dresden, March 20-21, 2013, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WPNC.2013.6533294
Hardware Supported Adaptive Data Collection for Networks on Chip
Heisswolf, J.; Weichslgartner, A.; Zaib, A.; Konig, R.; Wild, T.; Herkersdorf, A.; Teich, J.; Becker, J.
2013. IEEE 27th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW’13), Cambridge, Massachusetts/USA, May 20-24, 2013, 153–162, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2013.124
Hybrid interconnect design for heterogeneous hardware accelerators
Pham-Quoc, C.; Heisswolf, J.; Werner, S.; Al-Ars, Z.; Becker, J.; Bertels, K.
2013. Design, Automation & Test in Europe Conference & Exhibition (DATE’13), Grenoble, France, March 18-22, 2013, 843–846, Institute of Electrical and Electronics Engineers (IEEE). doi:10.7873/DATE.2013.178
LImbiC: An adaptable architecture description language model for developing an application-specific image processor
Tradowsky, C.; Harbaum, T.; Deyerle, S.; Becker, J.
2013. IEEE Computer Society Annual Symposium on VLSI (ISVLSI’13), Natal, Brazil, August 5-7, 2013, 34–39, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISVLSI.2013.6654619
NoC simulation in heterogeneous architectures for PGAS programming model
Roloff, S.; Weichslgartner, A.; Heißwolf, J.; Hannig, F.; Teich, J.
2013. 16th International Workshop on Software and Compilers for Embedded Systems (SCOPES’13), Sankt Goar, June 19-21, 2013, 77–85, Association for Computing Machinery (ACM). doi:10.1145/2463596.2463606
Simplify: A Framework for Enabling Fast Functional/Behavioral Validation of Multiprocessor Architectures in the Cloud
Marchesan Almeida, G.; Bellaver Longhi, O.; Bruckschloegl, T.; Hubner, M.; Hessel, F.; Becker, J.
2013. IEEE 27th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW’13), Cambridge, Massachusetts/USA, May 20-24, 2013, 2200–2205, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2013.108
An Experimental Approach to Passive Person Localization in Wireless Networks
Gaedeke, T.; Waddey, A. B.; Stork, W.; Müller-Glaser, K. D.
2013. European Conference on Smart Objects, Systems and Technologies (SysTech’13), Erlangen, June 11-12, 2013
A SystemC modeling and simulation methodology for fast and accurate parallel MPSoC simulation
Roth, C.; Bucher, H.; Reder, S.; Buciuman, F.; Sander, O.; Becker, J.
2013. 26th Symposium on Integrated Circuits and Systems Design (SBCCI’13), Curitiba, Brazil, September 2-6, 2013, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SBCCI.2013.6644853
Improving parallel MPSoC simulation performance by exploiting dynamic routing delay prediction
Roth, C.; Bucher, H.; Reder, S.; Sander, O.; Becker, J.
2013. 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC’13), Darmstadt, July 10-12, 2013, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReCoSoC.2013.6581524
A novel system on chip for software-defined, high-speed OFDM signal processing
Meyer, J.; Dreschmann, M.; Karnick, D.; Schindler, P. C.; Freude, W.; Leuthold, J.; Becker, J.
2013. 26th Symposium on Integrated Circuits and Systems Design (SBCCI’13), Curitiba, Brazil, September 2-6, 2013, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SBCCI.2013.6644883
AUTO-GS: Self-Optimization of NoC Traffic through Hardware Managed Virtual Connections
Zaib, A.; Heisswolf, J.; Weichslgartner, A.; Wild, T.; Teich, J.; Becker, J.; Herkersdorf, A.
2013. Euromicro Conference on Digital System Design (DSD’13), Los Alamitos, California/USA, September 4-6, 2013, 761–768, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD.2013.87
Efficient memory access in 2D Mesh NoC architectures using high bandwidth routers
Heisswolf, J.; Bischof, S.; Ruckauer, M.; Becker, J.
2013. 26th Symposium on Integrated Circuits and Systems Design (SBCCI’13), Curitiba, Brazil, September 2-6, 2013, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SBCCI.2013.6644857
ViSA: A highly efficient slot architecture enabling multi-objective ASIP cores
Figuli, P.; Tradowsky, C.; Gaertner, N.; Becker, J.
2013. International Symposium on System on Chip (SoC’13), Tampere, Finland, October 23-24, 2013, 1–8, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISSoC.2013.6675270
A simulation environment for assisting system design of coherent laser doppler wind sensor for active wind turbine pitch control
Shinohara, L.; Pham, T. T. A.; Beuth, T.; Babu, H. U.; Heussner, N.; Bogatscher, S.; Danilova, S.; Stork, W.
2013. Modeling Aspects in Optical Metrology IV, München, May 13-14, 2013. Ed.: B. Bodermann, 87890V/1–10, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.2020594
Flexible WDM-PON with Nyquist-FDM and 31.25 Gbit/s per Wavelength Channel using Colorless, Low-Speed ONUs
Schindler, P. C.; Schmogrow, R. M.; Dreschmann, M.; Meyer, J.; Hillerkuss, D.; Tomkos, I.; Prat, J.; Krimmel, H.-.. G.; Pfeiffer, T.; Kourtessis, P.; Becker, J.; Koos, C.; Freude, W.; Leuthold, J.
2013. Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC’13), Anaheim, CA, March 17-21, 2013, Article no 6533077, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1364/OFC.2013.OW1A.5
Bi-directional ultra-dense polarization-diverse OFDM/WDM PON with laserless colorless 1Gb/s ONUs based on Si PICs and <417 MHz mixed-signal ICs
Agmon, A.; Nazarathy, M.; Marom, D. M.; Ben-Ezra, S.; Tolmachev, A.; Killey, R.; Bayvel, P.; Meder, L.; Hubner, M.; Meredith, W.; Vickers, G.; Schindler, P. C.; Schmogrow, R.; Hillerkuss, D.; Freude, W.; Leuthold, J.
2013. Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC’13), Anaheim, California/USA, March 17-21, 2013, 1–3, Institute of Electrical and Electronics Engineers (IEEE)
Demonstration of SOA-assisted open metro-access infrastructure for heterogeneous services
Schmuck, H.; Bonk, R.; Haslach, C.; Kuebart, W.; Karnick, D.; Meyer, J.; Poehlmann, W.; Fritzsche, D.; Weis, E.; Becker, J.; Freude, W.; Pfeiffer, T.
2013. 39th European Conference and Exhibition on Optical Communication (ECOC’13), London, United Kingdom, September 22-26, 2013, 3 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1049/cp.2013.1493
Mobile Multi-parametric Sensor System for Diagnosis of Epilepsy and Brain Related Disorders
Anastasopoulou, P.; Antonopoulos, C.; Sghir, H.; Krikis, G.; Voros, N.; Hey, S.
2013. Wireless Mobile Communication and Healthcare: Third International Conference, MobiHealth 2012, Paris, France, November 21-23, 2012, Revised Selected Papers. Ed.: B. Godara, 207–214, Springer Verlag
2012
PhD Theses
Mechanismen zur verteilten Selbstorganisation von adaptiver Hardware. PhD dissertation
Schuck, C.
2012. Karlsruher Institut für Technologie (KIT)
Verbesserung der automatischen EKG-Analyse durch Hinzunahme von Kontextinformationen. PhD dissertation
Kirst, M.
2012. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000032343
Textilintegrierte Trockenelektrodensysteme für die dauerhafte EKG-Ableitung. PhD dissertation
Fuhrhop, S.
2012. Karlsruher Institut für Technologie (KIT)
Ambulantes Assessment von Schlafstörungen auf Basis pulsoximetrischer Messwerte. PhD dissertation
Groß, N.
2012. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000030796
Auslegung von miniaturisieren Flying-Spot-Laserprojektoren für eine optimale visuelle Wahrnehmung. PhD dissertation
Frederiksen, A.
2012. Karlsruher Institut für Technologie (KIT)
Ad-Hoc Personenlokalisierung in Drahtlosen Sensornetzwerken. PhD dissertation
Schmid, J.
2012. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000030410
Hardwarenahe Simulation in SystemC zur Analyse dynamisch rekonfigurierbarer Systeme. PhD dissertation
Kühnle, M.
2012. Karlsruher Institut für Technologie (KIT)
Effizienter Regressionstest von E/E-Systemen nach ISO 26262. PhD dissertation
Nörenberg, R.
2012. KIT Scientific Publishing. doi:10.5445/KSP/1000027498
Computing Architectures for Security Applications on Reconfigurable Hardware in Embedded Systems. PhD dissertation
Klimm, A.
2012. Karlsruher Institut für Technologie (KIT)
Journal Articles
Processor Solutions for Smart Mobility
Becker, J.; Sander, O.; Roth, C.
2012. MPC, 48 (Juli), 1–8
Enabling Adaptive Techniques in Heterogeneous MPSoCs Based on Virtualization
Ost, L.; Varyani, S.; Indrusiak, L.; Mandelli, M.; Almeida, G.; Wachter, E.; Moraes, F.; Sassatelli, G.
2012. ACM transactions on reconfigurable technology and Systems, 5 (3), 17:1–17:11. doi:10.1145/2362374.2362381
HoneyComb: An Application-Driven Online Adaptive Reconfigurable Hardware Architecture
Thomas, A.; Rückauer, M.; Becker, J.
2012. International Journal of Reconfigurable Computing, 2012, 832531. doi:10.1155/2012/832531
Exploration of Uninitialized Configuration Memory Space for Intrinsic Identification of Xilinx Virtex-5 FPGA Devices
Sander, O.; Glas, B.; Braun, L.; Müller-Glaser, K. D.; Becker, J.
2012. International Journal of Reconfigurable Computing, 2012, 219717. doi:10.1155/2012/219717
Eye safety for scanning laser projection systems
Frederiksen, A.; Fiess, R.; Stork, W.; Bogatscher, S.; Heussner, N.
2012. Biomedizinische Technik, 57 (3), 175–184. doi:10.1515/bmt-2011-0088
Efficient Execution of Networked MPSoC Models by Exploiting Multiple Platform Levels
Roth, C.; Meyer, J.; Rueckauer, M.; Sander, O.; Becker, J.
2012. International Journal of Reconfigurable Computing, 2012, 729786/1–13. doi:10.1155/2012/729786
Adaptive Multiclient Network-on-Chip Memory Core : Hardware Architecture, Software Abstraction Layer, and Application Exploration
Göhringer, D.; Meder, L.; Werner, S.; Oey, O.; Becker, J.; Hübner, M.
2012. International journal of reconfigurable computing, 2012, Art.Nr. 298561. doi:10.1155/2012/298561
A Fault Tolerant Approach to Detect Transient Faults in Microprocessors Based on a Non-Intrusive Reconfigurable Hardware
Azambuja, J. R.; Pagliarini, S.; Altieri, M.; Kastensmidt, F. L.; Huebner-Glaser, M.; Becker, J.; Foucard, G.; Velazco, R.
2012. IEEE Transactions on Nuclear Science, 59 (4), 1117–1124. doi:10.1109/TNS.2012.2201750
Conference Papers
Optical spectroscopy and obstacles by non-invasive detection of glucose concentration by home monitoring
Abdallah, O.; Qananwah, Q. M.; Bolz, A.; Hansmann, J.; Walles, H.; Hirth, T.
2012. Biosignals 2012 : proceedings of the International Conference on Bio-inspired Systems and Signal Processing ; Vilamoura, Algarve, Portugal, 1 - 4 February 2012 ; [integrated in BIOSTEC (International Joint Conference on Biomedical Engineering Systems and Technologies)]. Ed.: Sabine van Huffel, 291–296, SciTePress
A Compilation- and Simulation-Oriented Architecture Description Language for Multicore Systems
Stripf, T.; Oey, O.; Bruckschloegl, T.; Koenig, R.; Becker, J.; Goulas, G.; Alefragis, P.; Voros, N. S.; Potman, J.; Sunesen, K.; Derrien, S.; Sentieys, O.
2012. 2012 IEEE 15th International Conference on Computational Science and Engineering (CSE 2012) : Paphos, Cyprus, 5 - 7 December 2012 ; [held in conjunction with the 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing (EUC 2012) and the 6th International Workshop on Ubiquitous Underwater Sensor Networks (UUWSN 2012) ; proceedings], 383–390, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/iccse.2012.60
From Scilab to multicore embedded systems: Algorithms and methodologies
Goulas, G.; Alefragis, P.; Voros, N. S.; Valouxis, C.; Gogos, C.; Kavvadias, N.; Dimitroulakos, G.; Masselos, K.; Goehringer, D.; Derrien, S.; Menard, D.; Sentieys, O.; Huebner, M.; Stripf, T.; Oey, O.; Becker, J.; Rauwerda, G.; Sunesen, K.; Kritharidis, D.; Mitas, N.
2012. 2012 International Conference on Embedded Computer Systems (SAMOS 2012) : Samos, Greece, 16 - 18 July 2012. Ed.: John McAllister, 268–275, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/samos.2012.6404184
From Scilab to High Performance Embedded Multicore Systems: The ALMA Approach
Becker, J.; Stripf, T.; Oey, O.; Huebner, M.; Derrien, S.; Menard, D.; Sentieys, O.; Rauwerda, G.; Sunesen, K.; Kavvadias, N.; Masselos, K.; Goulas, G.; Alefragis, P.; Voros, N. S.; Kritharidis, D.; Mitas, N.; Goehringer, D.
2012. 2012 15th Euromicro Conference on Digital System Design (DSD 2012) : Cesme, Izmir, Turkey, 5 - 8 September 2012. Ed.: Smail Niar, 114–121, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/dsd.2012.65
A Student-built Ball-throwing Robotic Companion for Hands-on Robotics Education
Oberländer, J.; Harbaum, T.; Kurz, G.; Ahmed, N.; Kos-Grabar, T.; Hermann, A.; Roenau, A.; Dillmann, R.
2012. Field robotics : proceedings of the 14th International Conference on Climbing and Walking Robots and the Support Technologies for Mobile Machines, CLAWAR 2011, Paris, France, 6-8 September 2011. Ed.: P. Bidaud, 233–240, World Scientific Publishing
A Dry Coupling Method for Wearable Long-Term Ultrasound Monitoring Systems. A Feasibility Study
Niestoruk, L.; Martinez, G.; Stork, W.
2012. Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), San Diego, California, USA, 28 August - 1 September 2012, Institute of Electrical and Electronics Engineers (IEEE)
A Dry Coupling Method for Wearable Long-Term Ultrasound Monitoring Systems: A Feasibility Study
Niestoruk, L.; Martinez, G.; Stork, W.
2012. Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), 28. Aug - 01. Sep 2012, San Diego, CA, USA, Institute of Electrical and Electronics Engineers (IEEE)
On Designing Self-Aware Reconfigurable Platforms
Siozios, K.; Sidiropoulos, H.; Diamantopoulos, D.; Figuli, P.; Soudris, D.; Huebner, M.; Becker, J.
2012. 22nd International Conference on Field Programmable Logic and Applications (FPL 2012) : Oslo, Norway, 29 - 31 August 2012, 14–47, Institute of Electrical and Electronics Engineers (IEEE)
Hardware/Software Virtualization for the Reconfigurable Multicore Platform
Ferger, M.; Kadi, A.; Koedam, M.; Huebner, M.; Sinha, S.; Goossens, K.; Almeida, G.; Azambuja, J. R.; Becker, J.
2012. IEEE 15th International Conference on Computational Science and Engineering (CSE), 2012 : 5 - 7 Dec. 2012, Paphos, Cyprus ; [held in conjunction with] the 10th IEEE/IFIP International Conference on Embedded and Ubiquitous Computing (EUC 2012) [and] the 6th International Workshop on Ubiquitous Underwater Sensor Networks (UUWSN 2012) ; proceedings, 341–344, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ICCSE.2012.54
Determination of On-Chip Temperature Gradients on Reconfigurable Hardware
Tradowsky, C.; Cordero, E.; Deuser, T.; Huebner, M.; Becker, J.
2012. 2012 International Conference on Reconfigurable Computing and FPGAs (ReConFig 2012), Cancun, Mexico, 5 - 7 December 2012, Art.Nr. 6416738, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReConFig.2012.6416738
FPGA based System-on-Chip Solution for Compensation of aging Motor Parameters of two Phase Hybrid Stepper Motors
Dahm, N.; Hagner, M.; Huebner, M.; Becker, J.
2012. Embedded World 2012, Nuremberg, 28 February 2012 - 01 March 2012, 10 -, WEKA-Fachmedien
An Immersed Boundary Method for Simulating Compressible Viscous Flows in Complex Geometries
Jastrow, B.; Magagnato, F.
2012. I9th International Conference on Advances in Fluid Mechanics. Ed.: M. Rahman, 97–108, WIT Press. doi:10.2495/AFMI20091
A concept for wearable long-term urinary bladder monitoring with ultrasound. Feasibility study
Niestoruk, L.; Beuth, T.; Petry, K.; Balzer, M.; Stork, W.; Müller-Glaser, K. D.
2012. 5th European DSP Education and Research Conference (EDERC 2012) : Amsterdam, Netherlands, 13 - 14 September 2012. Ed.: J.J. Soraghan, 134–138, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/EDERC.2012.6532241
Pedestrian Dead Reckoning as a complementary method for Wireless Sensor Network Ad-Hoc Person Localization
Gaedeke, T.; Schmid, J.; Stork, W.; Mueller-Glaser, K. D.
2012. SENSORNETS 2012 - Proceedings of the 1st International Conference on Sensor Networks, Rome, Italy, February 24-26, 2012. Ed.: M. van Sinderen, 139–148, SciTePress
A Framework for exploration of parallel SystemC simulation on the single-chip cloud computer
Roth, C.; Reder, S.; Sander, O.; Huebner, M.; Becker, J.
2012. Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques, Desenzano del Garda, Italy, March 19-23, 2012. Ed.: G. Riley, 202–207, ICST. doi:10.4108/icst.simutools.2012.247751
Hardware prototyping of novel invasive multicore architectures
Becker, J.; Friederich, S.; Heisswolf, J.; Koenig, R.; May, D.
2012. 17th Asia and South Pacific Design Automation Conference (ASP-DAC’12), Sydney, Australia, January 30 - February 2, 2012, 201–206, Institute of Electrical and Electronics Engineers (IEEE)
Invasive Manycore Architectures
Henkel, J.; Herkersdorf, A.; Bauer, L.; Wild, T.; Hubner, M.; Pujari, R. K.; Grudnitsky, A.; Heisswolf, J.; Zaib, A.; Vogel, B.; Lari, V.; Kobbe, S.
2012. 17th Asia and South Pacific Design Automation Conference (ASP-DAC’12), Sydney, Australia, January 30 - February 2, 2012, 193–200, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ASPDAC.2012.6164944
Improving sparse organic WiFi localization with inertial sensors
Schmid, J.; Curtis, D.; Gaedeke, T.; Ledlie, J.
2012. Proceedings of the 2012 9th Workshop on Positioning, Navigation and Communication, Dresden, March 15-16, 2012, 30–35, Institute of Electrical and Electronics Engineers (IEEE)
FPGA System-on-Chip Solution for a Field Oriented Hybrid Stepper Motor Control
Dahm, N.; Huebner, M.; Becker, J.
2012. 9th International Multi-Conference on Systems, Signals and Devices (SSD ’12), Chemnitz, March 20-23, 2012, 6 S., Institute of Electrical and Electronics Engineers (IEEE)
Improving Energy Efficiency for Small-Scale Solar Energy Harvesting
Schmid, J.; Gaedeke, T.; Scheibe, T.; Stork, W.
2012. Smart SysTech 2012: European Conference on Smart Objects, Systems and Technologies, Munich, Germany, June 12-13, 2012, 1 CD-Rom, VDE Verlag
Realtime PCI Express Monitoring for Self Adaptive Reconfigurable Systems
Rueckauer, M.; Meyer, J.; Schubert, T.; Huebner, M.; Scheurer, D.; Becker, J.
2012. 9th International Multi-Conference on Systems, Signals and Devices (SSD ’12), Chemnitz, March 20-23, 2012, 6 S., Institute of Electrical and Electronics Engineers (IEEE)
A coherent laser Doppler wind profiler for the active control of wind turbines
Shinohara, L.; Bogatscher, S.; Heussner, N.; Umesh-Babu, H.; Brunet, M.; Stork, W.
2012. Solid State Lasers XXI: Technology and Device, San Francisco, California, USA, January 22-25, 2012. Ed.: W. A. Clarkson, 823519/1–12, Society of Photo-optical Instrumentation Engineers (SPIE)
On Dynamic Run-Time Processor Pipeline Reconfiguration
Tradowsky, C.; Thoma, F.; Huebner, M.; Becker, J.
2012. 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW’12), Shanghai, China, May 21-25, 2012; Vol. 1, 419–424, Institute of Electrical and Electronics Engineers (IEEE)
Smartphone pedestrian navigation by foot-IMU sensor fusion
Gaedeke, T.; Schmid, J.; Zahnlecker, M.; Stork, W.; Mueller-Glaser, K. D.
2012. 2012 Ubiquitous Positioning, Indoor Navigation and Location-Based Service (UPINLBS’12), Helsinki, Finland, October 3-4, 2012, 8 S., Institute of Electrical and Electronics Engineers (IEEE)
Force-directed tracking in wireless networks using signal strength and step recognition
Voelker, M.; Schmid, J.; Gaedeke, T.; Mueller-Glaser, K. D.; Wagner, D.
2012. 2012 International Conference on Localization and GNSS (ICL-GNSS’12), Starnberg, Germany, June 25-27, 2012, 8 S., Institute of Electrical and Electronics Engineers (IEEE)
LISPARC: Using an architecture description language approach for modelling an adaptive processor microarchitecture
Tradowsky, C.; Thoma, F.; Huebner, M.; Becker, J.
2012. Proceedings of the 7th IEEE International Symposium on Industrial Embedded Systems (SIES’12), Karlsruhe, June 20-22, 2012, 279–282, Institute of Electrical and Electronics Engineers (IEEE)
A Scalable NoC Router Design Providing QoS Support using Weighted Round Robin Scheduling
Heisswolf, J.; Koenig, R.; Becker, J.
2012. Proceedings of the 10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPAW’12), Madrid, Spain, July 10-13, 2012, 625–632, Institute of Electrical and Electronics Engineers (IEEE)
A cycle-approximate, mixed-ISA simulator for the KAHRISMA architecture
Stripf, T.; Koenig, R.; Becker, J.
2012. Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’12), Dresden, March 12-16, 2012. Ed.: K. Preas, 21–26, Institute of Electrical and Electronics Engineers (IEEE)
A Compiler Back-End for Reconfigurable, Mixed-ISA Processors with Clustered Register Files
Stripf, T.; Koenig, R.; Becker, J.
2012. 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW’12), Shanghai, China, May 21-25, 2012; Vol. 1, 462–469, Institute of Electrical and Electronics Engineers (IEEE)
Adaptive Processor Architecture
Huebner, M.; Goehringer, D.; Tradowsky, C.; Henkel, J.; Becker, J.
2012. 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XII), Samos, Greece, July 16-19, 2012, 244–251, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SAMOS.2012.6404181
Ultra high speed digital down converter design for Virtex-6 FPGAs
Meyer, J.; Menzel, S.; Dreschmann, M.; Schmogrow, R.; Hillerkuss, D.; Freude, W.; Leuthold, J.; Becker, J.
2012. Proceedings of the 17th International OFDM Workshop (InOWo’12), Essen, August 29-30, 2012. Ed.: A. Czylwik, 151–155, VDE Verlag
On Supporting Efficient Partial Reconfiguration with Just-In-Time Compilation
Sidiropoulos, H.; Siozos, K.; Figuli, P.; Soudris, D.; Huebner, M.
2012. 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW’12), Shanghai, China, May 21-25, 2012; Vol. 1, 328–335, Institute of Electrical and Electronics Engineers (IEEE)
Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems
Werner, S.; Oey, O.; Goehringer, D.; Huebner, M.; Becker, J.
2012. Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’12), Dresden, March 12-16, 2012. Ed.: K. Preas, 280–283, Institute of Electrical and Electronics Engineers (IEEE)
Asynchronous Parallel MPSoC Simulation on the Single-chip Cloud Computer
Roth, C.; Reder, S.; Erdogan, G.; Sander, O.; Almeida, G.; Bucher, H.; Becker, J.
2012. 2012 International Symposium on System-on-Chip (SoC’12), Tampere, Finland, October 10-12, 2012, 8 S., Institute of Electrical and Electronics Engineers (IEEE)
Seamless Model-Based Design and Deployment of Wireless Networked Systems
Schwalb, T.; Gaedeke, T.; Schmid, J.; Mueller-Glaser, K. D.
2012. 23rd IEEE International Symposium on Rapid System Prototyping (RSP’12), Tampere, Finland, October 11-12, 2012, 100–106, Institute of Electrical and Electronics Engineers (IEEE)
Hybrid Person Localization in Ad-hoc Networks Using Model-based Control and Visualization
Gaedeke, T.; Schwalb, T.; Schmid, J.; Stork, W.; Mueller-Glaser, K. D.
2012. 1st IEEE International Symposium on Wireless Systems within the Conferences on Intelligent Data Acquisition and Advanced Computing Systems (IDAACS-SWS12), Offenburg, September 20-21, 2012, 13–18, Institute of Electrical and Electronics Engineers (IEEE)
Towards Design and Integration of a Vehicle-to-X based Adaptive Cruise Control
Sander, O.; Roth, C.; Glas, B.; Becker, J.
2012. Proceedings of the FISITA 2012 World Automotive Congress. Volume 12: Intelligent Transport System (ITS) & Internet of Vehicles, 87–99, Springer Verlag
Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures
Lemonnier, F.; Millet, P.; Almeida, G. M.; Hubner, M.; Becker, J.; Pillement, S.; Sentieys, O.; Koedam, M.; Sinha, S.; Goossens, K.; Piguet, C.; Morgan, M.-N.; Lemaire, R.
2012. 2012 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XII), Samos, Greece, July 16-19, 2012, 228–235, Institute of Electrical and Electronics Engineers (IEEE)
A flexible approach for compiling scilab to reconfigurable multi-core embedded systems
Stripf, T.; Oey, O.; Bruckschloegl, T.; Koenig, R.; Huebner, M.; Becker, J.; Goulas, G.; Alefragis, P.; Voros, N. S.; Rauwerda, G.; Sunesen, K.; Derrien, S.; Menard, D.; Sentieys, O.; Kavvadias, N.; Dimitroulakos, G.; Masselos, K.; Goehringer, D.; Perschke, T.; Kritharidis, D.; et al.
2012. Proceedings of the 7th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’12), York, United Kingdom, July 9-11, 2012. Ed.: L. S. Indrusiak, 8 S., Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ReCoSoC.2012.6322879
Classification of Human Physical Activity and Energy Expenditure Estimation by Accelerometry and Barometry
Anastasopoulou, P.; Tansella, M.; Stumpp, J.; Shammas, L.; Hey, S.
2012. Annual International Conference of the Engineering in Medicine and Biology Society (EMBC’12), San Diego, California, USA, August 28 - September 1, 2012, 6451–6454, Institute of Electrical and Electronics Engineers (IEEE)
Assessment of Human Gait Speed and Energy Expenditure using a Singel Triaxial Accelerometer
Anastasopoulou, P.; Shammas, L.; Hey, S.
2012. Proceedings of the IEEE 9th International Conference on Wearable and Implantable Body Sensor Networks (BSN’12), London, United Kingdom, May 9-12, 2012. Ed.: G.-Z. Yang, 184–188, Institute of Electrical and Electronics Engineers (IEEE)
Assessment der Mobilität im Alltag zur Unterstützung von MS-Patienten
Shammas, L.; Bachis, S.; Anastasopoulou, P.; Zentek, T.; Schlesinger, S.; Hey, S.
2012. Ressource Bewegung: Herausforderungen für Gesundheit- und Sportsystem sowie Wissenschaft. 15. Jahrestagung der DVS-Kommission Gesundheit, Leipzig, 20.-21. September 2012. Hrsg.: K. Eckert, 1 S., Feldhaus Edition Czwalina
Using Support Vector Regression for assessing Human Energy Expenditure using a Triaxial Accelerometer and a Barometer
Anastasopoulou, P.; Haertel, S.; Tubic, M.; Hey, S.
2012. Wireless Mobile Communication and Healthcare: Third International Conference, MobiHealth 2012, Paris, France, November 21-23, 2012, Revised Selected Papers. Ed.: B. Godara, 106–113, Springer Verlag
Component-Based Models for Runtime Control and Monitoring of Embedded Systems
Schwalb, T.; Gaedeke, T.; Schmid, J.; Mueller-Glaser, K. D.
2012. ACES-MB ’12 - Proceedings of the 5th International Workshop on Model Based Architecting and Construction of Embedded Systems, Innsbruck, Austria, September 30, 2012, 31–36, Association for Computing Machinery (ACM)
Fast scan-fail device for class 1 operation of scanning micromirrors at a high laser power in the near-infrared region
Bogatscher, S.; Giesel, C.; Beuth, T.; Umesh-Babu, H.; Shinohara, L.; Heussner, N.; Streck, A.; Stork, W.
2012. Infrared sensors, devices, and applications II, San Diego, California, United States, August 14-15, 2012 . Ed.: P. D. LeVan, 85120E, Society of Photo-optical Instrumentation Engineers (SPIE)
Xilinx tools facilitate development of FPGA applications for IEC61508
Corradi, G.; Girardey, R.; Becker, J.
2012. 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS’12), Erlangen, June 25-28, 2012, 54–61, Institute of Electrical and Electronics Engineers (IEEE)
Fine grain fault tolerance - A key to high reliability for FPGAs in space
Niknahad, M.; Sander, O.; Becker, J.
2012. 2012 IEEE Aerospace Conference, Big Sky, Montana, USA, March 3-10, 2012, 10 S., Institute of Electrical and Electronics Engineers (IEEE)
Hardware-assisted Decentralized Resource Management for Networks on Chip with QoS
Heisswolf, J.; Zaib, A.; Weichslgartner, A.; Koenig, R.; Wild, T.; Teich, J.; Herkersdorf, A.; Becker, J.
2012. 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops PhD Forum (IPDPSW’12), Shanghai, China, May 21-25, 2012, 234–241, Institute of Electrical and Electronics Engineers (IEEE)
Framework for dynamic verification of multi-domain virtual platforms in industrial automation
Mendoza, F.; Pascal, J.; Nenninger, P.; Becker, J.
2012. 2012 IEEE 10th International Conference on Industrial Informatics (INDIN’12), Beijin, China, July 25-27, 2012, 935–940, Institute of Electrical and Electronics Engineers (IEEE)
On demand dependent deactivation of automotive ECUs
Schmutzler, C.; Simons, M.; Becker, J.
2012. Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE’12), Dresden, March 12-16, 2012. Ed.: K. Preas, 69–74, Institute of Electrical and Electronics Engineers (IEEE)
FPGA controlled DDS based frequency sweep generation of high linearity for FMCW radar systems
Ayhan, S.; Vu-Duy, V.; Pahl, P.; Scherr, S.; Huebner, M.; Becker, J.; Zwick, T.
2012. The 7th German Microwave Conference (GeMiC’12), Ilmenau, March 12-14, 2012, 4 S., Institute of Electrical and Electronics Engineers (IEEE)
Quality metrics for optical signals: Eye diagram, OSNR, Q-factor, EVM and BER
Freude, W.; Schmogrow, R.; Nebendahl, B.; Winter, M.; Josten, A.; Hillerkuss, D.; Koenig, S.; Meyer, J.; Dreschmann, M.; Huebner, M.; Koos, C.; Becker, J.; Leuthold, J.
2012. 14th International Conference on Transparent Optical Networks (ICTON’12), Coventry, United Kingdom, July 2-5, 2012, Vol. 1. Ed.: M. Jaworski, 21–24, Institute of Electrical and Electronics Engineers (IEEE)
Presentations
A concept for wearable long-term urinary bladder monitoring with ultrasound. Feasibility study
Niestoruk, L.; Beuth, T.; Petry, K.; Balzer, M.; Stork, W.; Müller-Glaser, K. D.
2012. 5th European DSP in Education and Research Conference (EDERC), Amsterdam, NL, September 13-14, 2012
2011
Book Chapters
Two Dimensional Dynamic Multigrained Reconfigurable Hardware
Braun, L.; Becker, J.
2011. VLSI 2010 Annual Symposium : Selected papers. Ed. by Nikolaos Voros, 303–318, Springer Netherlands. doi:10.1007/978-94-007-1488-5_18
REFLECT: Rendering FPGAs to Multi-Core Embedded Computing
Cardoso, J. M. P.; Diniz, P. C.; Petrov, Z.; Bertels, K.; Hübner, M.; Van Someren, H.; Goncalves, F.; De Coutinho, J. G. F.; Constantinides, G. A.; Olivier, B.; Luk, W.; Becker, J.; Kuzmanov, G.; Thoma, F.; Braun, L.; Kühnle, M.; Nane, R.; Sima, V. M.; Kratky, K.; Alves, J. C.; et al.
2011. Reconfigurable Computing-From FPGAs to Hardware/Software Codesign. Ed.: J. M. P. Cardoso, 261–290, Springer Verlag. doi:10.1007/978-1-4614-0061-5_11
MORPHEUS: Exploitation of Reconfiguration for Increased Run-time Flexibility and Self-adaptive Capabilities in Future SoCs
Grasset, A.; Brelet, P.; Millet, P.; Bonnot, P.; Campi, F.; Voros, N. S.; Hübner, M.; Kühnle, M.; Thoma, F.; Roeming-Putzke, W.; Schneider, A.
2011. Reconfigurable Computing-From FPGAs to Hardware/Software Codesign. Ed.: J. M. P. Cardoso, 53–90, Springer Verlag. doi:10.1007/978-1-4614-0061-5_4
Smart Chips for Smart Surroundings - 4S
Schueler, E.; Koenig, R.; Becker, J.; Rauwerda, G.; Burgwal, M.; Smit, G.
2011. Reconfigurable Computing - From FPGAs to Hardware/Software Codesign. Ed.: J. M. P. Cardoso, 117–147, Springer Verlag
Books
Multiprocessor System-on-Chip - Hardware Design and Tool Integration
Huebner, M.; Becker, J. (Eds.)
2011. Springer Verlag
PhD Theses
Hochauflösende Optische Kohärenztomographie mit einem Superkontinuumlaser. PhD dissertation
Butzbach, M.
2011. Karlsruher Institut für Technologie (KIT)
Kleidungsintegriertes System zur aktiven und automatischen Körperklimatisierung. PhD dissertation
Gharbi, A.
2011. Karlsruher Institut für Technologie (KIT)
Flexible Design and Dynamic Utilization of Adaptive Scalable Multi-Core Systems. PhD dissertation
Göhringer, D.
2011. Verlag Dr. Hut
Ambiente kapazitive EKG-Messung - Elektroden, Systeme und Konzepte. PhD dissertation
Heuer, S.
2011. Karlsruher Institut für Technologie (KIT). doi:10.5445/IR/1000024472
Architektur-Framework funkvernetzte Sensorsysteme für Screening, Trendmessung und Telemonitoring. PhD dissertation
Vollmer, P.
2011. Karlsruher Institut für Technologie (KIT)
Miniaturisierte Flying-Spot-Projektoren - Laseransteuerung und Systemdesign. PhD dissertation
Streck, A.
2011. Karlsruher Institut für Technologie (KIT)
Journal Articles
Optimierung kryptographischer Methoden für eingebettete Systeme
Lauber, A.; Zimmermann, W.; Kappen, N.
2011. IT-Innovationen, (6), 22
Exploration of Power-Performance Tradeoffs through Parameterization of FPGA-based Multiprocessor Systems
Goehringer, D.; Obie, J.; Braga, A.; Huebner, M.; Llanos, C.; Becker, J.
2011. International journal of reconfigurable computing, 2011, Art.Nr. 985931. doi:10.1155/2011/985931
A Security Scheme for Dependable Key Insertion in Mobile Embedded Devices
Klimm, A.; Glas, B.; Wachs, M.; Vogel, S.; Müller-Glaser, K. D.; Becker, J.
2011. International Journal of Reconfigurable Computing, 2011, 820454. doi:10.1155/2011/820454
Fast Startup for Xilinx FPGAs
Meyer, J.; Noguera, J.; Stewart, R.; Hübner, M.; Becker, J.
2011. Xcell Journal, (75), 18–23
Operating System for Runtime Reconfigurable Multiprocessor Systems
Goehringer, D.; Huebner, M.; Nguepi Zeutebouo, E.; Becker, J.
2011. International journal of reconfigurable computing, 2011, 121353/1–16. doi:10.1155/2011/121353
26 Tbit s-1 line-rate super-channel transmission utilizing all-optical fast Fourier transform processing
Hillerkuss, D.; Schmogrow, R.; Schellinger, T.; Jordan, M.; Winter, M.; Huber, G.; Vallaitis, T.; Bonk, R.; Kleinow, P.; Frey, F.; Roeger, M.; Koenig, S.; Ludwig, A.; Marculescu, A.; Li, J.; Hoh, M.; Dreschmann, M.; Meyer, J.; Ben Ezra, S.; Narkiss, N.; et al.
2011. Nature photonics, 5 (6), 364–371. doi:10.1038/nphoton.2011.74
FPGA-Based Embedded Signal Processing for 3-D Ultrasound Computer Tomography
Birk, M.; Koehler, S.; Balzer, M.; Huebner, M.; Ruiter, N. V.; Becker, J.
2011. IEEE Transactions on Nuclear Science, 58 (4), 1647–1651. doi:10.1109/TNS.2011.2159017
Prime Field ECDSA Signature Processing for Reconfigurable Embedded Systems
Glas, B.; Sander, O.; Stuckert, V.; Müller-Glaser, K. D.; Becker, J.
2011. International Journal of Reconfigurable Computing, 2011, 836460/1–12. doi:10.1155/2011/836460
Evaluation of the reconfiguration of the data acquisition system for 3D USCT
Birk, M.; Hagner, C.; Balzer, M.; Ruiter, N. V.; Hübner, M.; Becker, J.
2011. International Journal of Reconfigurable Computing, 2011, 952937/1–9. doi:10.1155/2011/952937
Real-time OFDM transmitter beyond 100 Gbit/s
Schmogrow, R. M.; Winter, M.; Hillerkuss, D.; Nebendahl, B.; Ben-Ezra, S.; Meyer, J.; Dreschmann, M.; Huebner, M.; Becker, J.; Koos, C.; Freude, W.; Leuthold, J.
2011. Optics Express, 19 (13), 12740–12749. doi:10.1364/OE.19.012740
Reconfiguration Techniques for self-X Power and Performance Management on Xilinx Virtex-II/Virtex-II-Pro FPGAs
Schuck, C.; Haetzer, B.; Becker, J.
2011. International Journal of Reconfigurable Computing, 2011, 671546/1–12. doi:10.1155/2011/671546
Conference Papers
A new method to estimate energy expenditure using accelerometry and barometry-based energy models
Anastasopoulou, P.; Shammas, L.; Stumpp, J.; Haaren, B. von; Hey, S.
2011. Proceedings of the 45th Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE (DGBMT), Freiburg, Germany, 2011, Schiele & Schön. doi:10.1515/BMT.2011.838
Multi-parameter activity monitoring system to support patients with multiple sclerosis
Shammas, L.; Zentek, T.; Anastasopoulou, P.; Rashid, A.; Hey, S.
2011. Proceedings of the 45th Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE (DGBMT), Freiburg, Germany, 2011
Sensor Chest Strap Wirelessly Coupled with an e-Diary for Ambulatory Assessment of Psycho-Physiological Data
Stumpp, J.; Anastasopoulou, P.; Sghir, H.; Hey, S.
2011. Proceedings of the 2nd biennial conference of the Science of Ambulatory Assessment. Ann Arbor, Michigan, 2011
Validity of the kmsMove-sensor in calculating energy expenditure during different walking intensities
Haaren, B. von; Gnam, J.-P.; Helmholdt, S.; Anastasopoulou, P.; Hey, S.; Boes, K.
2011. Proceedings of the 2nd Conference on Ambulatory Monitoring of Physical Activity and Movement (ICAMPAM), Glasgow Caledonian University, UK, 24-27 May 2011, 2 S
Energieumsatzmessung mit Aktivitätssensoren – Validität des kmsMove-Akzelerometers
Haaren, B. von; Gnam, J.-P.; Haertel, S.; Loeffler, S.; Helmholdt, S.; Anastasopoulou, P.; Hey, S.; Boes, K.
2011. Kinder bewegen - wissenschaftliche Energien bündeln: proceedings of the Jahrestagung der dvs-Kommission Gesundheit, Karlsruhe, Germany 2011, Karlsruher Institut für Technologie (KIT)
Ad-hoc Sensor Network for Building Monitoring and Person Localization
Gaedeke, T.; Schmid, J.; Stork, W.; Reinhardt, M.; Markus, M.; Blank, T.
2011. The First International Conference on Wireless and Mobile Networks (ICWMCN 2011), October 24-25, 2011,Paris, France, 3 S
Pedestrian Dead Reckoning for Person Localization in a Wireless Sensor Network
Gaedeke, T.; Schmid, J.; Stork, W.; Mueller-Glaser, K. D.
2011. 2011 International Conference on Indoor Positioning and Indoor Navigation (IPIN 2011) : Guimaraes, Portugal, 21 - 23 September 2011, Institute of Electrical and Electronics Engineers (IEEE)
Demo Abstract: Position Visualization in a Combined WSN-PDR Localization System
Schmid, J.; Gaedeke, T.; Bross, D.; Mairbaeurl, M.; Stork, W.; Mueller-Glaser, K. D.
2011. Proceedings of the 2nd International Conference on Indoor Positioning and Indoor Navigation (IPIN), Guimaraes, Portugal, 21 - 23 September 2011, Institute of Electrical and Electronics Engineers (IEEE)
Poster Abstract: A Simulation Environment for PDR-aided Localization in Wireless Sensor Networks
Gaedeke, T.; Schmid, J.; Anselm, T.; Stork, W.; Mueller-Glaser, K. D.
2011. International Conference on Indoor Positioning and Indoor Navigation (IPIN 2011) : Guimaraes, Portugal, 21 - 23 September 2011, Institute of Electrical and Electronics Engineers (IEEE)
A FlexRay parameter calculation methodology based on the electric/electronic architecture of vehicles
Heinz, M.; Hillenbrand, M.; Brunn, P. von; Mueller-Glaser, K. D.
2011. 6th IFAC Symposium Advances in Automotive Control : Munich, Germany, 12 - 14 July 2010, 360–365, Curran. doi:10.3182/20100712-3-DE-2013.00014
The experimental results of tissue thickness estimation with UWB signals for the purpose of detecting water accumulations in the human body
Niestoruk, L.; Perkuhn, O.; Stork, W.
2011. 10th IEEE International Workshop on Biomedical Engineering, BioEng 201, Kos Island, Greece, 5. - 7. October 2011, 1–4, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IWBE.2011.6079043
Fast Start-up for Spartan-6 FPGAs using Dynamic Partial Reconfiguration
Meyer, J.; Noguera, J.; Huebner, M.; Braun, L.; Sander, O.; Gil, R. M.; Stewart, R.; Becker, J.
2011. Proceedings of the Design, Automation & Test in Europe (DATE’11), Grenoble, France, March 14-18, 2011, 1–6, Institute of Electrical and Electronics Engineers (IEEE)
Demo Abstract: Self-powered Self-organized WSN for Condition Monitoring of Heavy Industrial Gears
Niestoruk, L.; Schmid, J.; Raedle, D.; Nurnus, J.; Gavrikov, A.; Benkendorf, M.; Boll, P.; Stork, W.
2011. Proceedings of the 8th European Conference on Wireless Sensor Networks (EWSN’11), Bonn, Germany, February 23-25, 2011; Poster and Demos Session, 44–15, Universität Bonn
HLA-based Simulation Environment for distributed SystemC Simulation
Roth, C.; Sander, O.; Kuehnle, M.; Becker, J.
2011. Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques (SIMUTools’11), Barcelona, Spain, March 21-25, 2011, 108–114, ICST
WBAN based prototype for active body climate control based on environmental and individual sensor data
Gharbi, A.; Breuel, M.; Darmoul, W.; Heuer, S.; Haertel, S.; Stork, W.; Mueller-Glaser, K. D.
2011. BIOSIGNALS 2011 - Proceedings of the International Conference on Bio-inspired Systems and Signal Processing, Rome, Italy, 26 - 29 January, 2011. Ed.: F. Babiloni, 276–284, SciTePress
Signal quality assessment for capacitive ECG monitoring systems using body-sensor-impedance
Heuer, S.; Chiriac, S.; Kirst, M.; Gharbi, A.; Stork, W.
2011. BIOSIGNALS 2011 - Proceedings of the International Conference on Bio-inspired Systems and Signal Processing, Rome, Italy, 26 - 29 January, 2011. Ed.: F. Babiloni, 454–458, SciTePress
A computer model of human thermoregulation extended with an active body climate control system
Gharbi, A.; El khabbaz, M.; Heuer, S.; Stork, W.; Mueller-Glaser, K. D.
2011. Proceedings of the Eighth IASTED International Conference on Biomedical Engineering-Biomedical Engineering, Innsbruck, Austria, February 16 - 18, 2011. Ed.: C. Baumgartner, 89–95, ACTA Press
Modular Framework for Multi-level Multi-device MPSoC Simulation
Roth; Sander, O.; Almeida, G.; Ost, L.; Hebert, N.; Sassatelli, G.; Benoit, P.; Torres, L.; Becker, J.
2011. Proceedings of the IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW’11), Anchorage, Alaska, USA, May 16-20, 2011, 136–142, Institute of Electrical and Electronics Engineers (IEEE)
Electric/electronic architecture model driven FlexRay configuration
Heinz, M.; Hillenbrand, M.; Mueller-Glaser, K. D.
2011. Tagungsband des Dagstuhl-Workshop MBEES: Modellbasierte Entwicklung eingebetteter Systeme VII, Schloss Dagstuhl, Germany, 2011. Hrsg.: H. Giese, 51–60, fortiss GmbH
Ontology-Based Consideration of Electric/Electronic Architectures of Vehicles
Hillenbrand, M.; Heinz, M.; Morhard, M.; Kramer, J.; Mueller-Glaser, K. D.
2011. Tagungsband des Dagstuhl-Workshop MBEES: Modellbasierte Entwicklung eingebetteter Systeme VII, Schloss Dagstuhl, Germany, 2011. Hrsg.: H. Giese, 133–146, fortiss GmbH
Towards Provable Protocol Conformance of Serial Automotive Communication IP
Becker, J. E.; Sander, O.; Klimm, A.; Bulach, S.; Weinberger, K.; Becker, J.
2011. Proceedings of the Design & Verification Conference & Exhibition (DVCon’11), San Jose, California, USA, February 28 - March 3, 2011, 1P.5/1–6, MPA
On the fusion of inertial data for signal strength localization
Schmid, J.; Gaedeke, T.; Stork, W.; Mueller-Glaser, K. D.
2011. Proceedings of the 8th Workshop on Positioning, Navigation and Communication (WPNC’11), Dresden, Germany, April 7-8, 2011, 7–12, Institute of Electrical and Electronics Engineers (IEEE)
A heterogeneous SoC Architecture with embedded virtual fpga Cores and Runtime core Fusion
Figuli, P.; Hübner, M.; Girardey, R.; Bapp, F.; Bruckschloegl, T.; Thoma, F.; Henkel, J.; Becker, J.
2011. Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, San Diego, California, USA, June 6-9, 2011, 96–103, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/AHS.2011.5963922
101.5 Gbit/s Real-Time OFDM Transmitter with 16QAM Modulated Subcarriers
Schmogrow, R.; Nebendahl, B.; Hillerkuss, D.; Meyer, J.; Dreschmann, M.; Huebner, M.; Becker, J.; Koos, C.; Freude, W.; Leuthold, J.; Winter, M.
2011. Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC 2011), Los Angeles, California, USA, March 6-10, 2011, 2346–2348, Institute of Electrical and Electronics Engineers (IEEE)
Run-Time Resource Instantiation for Fault Tolerance in FPGAs
Pereira, M.; Braun, L.; Huebner, M.; Becker, J.; Carro, L.
2011. Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (AHS’11), San Diego, California, USA, June 6-9, 2011, 88–95, Institute of Electrical and Electronics Engineers (IEEE)
Implementation of an ultra-high speed 256-point FFT for Xilinx Virtex-6 devices
Dreschmann, M.; Meyer, J.; Huebner, M.; Schmogrow, R.; Hillerkuss, D.; Becker, J.; Leuthold, J.; Freude, W.
2011. Proceedings of the IEEE 9th International Conference on Industrial Informatics (INDIN’11), Caparica, Lisbon, Portugal, July 26-29, 2011, 829–834, Institute of Electrical and Electronics Engineers (IEEE)
Flexible and Efficient Co-Simulation of Networked Embedded Devices
Roth, C.; Sander, O.; Kuehnle, M.; Becker, J.
2011. Proceedings of the Twenty-Fourth Symposium on Integrated Circuits and Systems Design, Joao Pessoa, Brazil, August 30 - September 02, 2011, 61–66, Association for Computing Machinery (ACM)
The Study of a Dynamic Reconfiguration Manager for Systems-on-Chip
Kuehnle, M.; Brito, A.; Roth, C.; Dagas, K.; Becker, J.
2011. Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’11), Chennai, India, July 4-6, 2011, 13–18, IEEE Computer Society
Extension of component-based models for control and monitoring of embedded systems at runtime
Schwalb, T.; Mueller-Glaser, K. D.
2011. Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping (RSP’11), Karlsruhe, Germany, May 24-27, 2011 In Rapid System Prototyping, 2011. RSP ’11. IEEE International Symposium on, 2011, 142–148, Institute of Electrical and Electronics Engineers (IEEE)
Rapid automotive bus system synthesis based on communication requirements
Heinz, M.; Hillenbrand, M.; Klindworth, K.; Mueller-Glaser, K. D.
2011. Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping (RSP’11), Karlsruhe, Germany, May 24-27, 2011, 53–58, Institute of Electrical and Electronics Engineers (IEEE)
Embedded Systems Start-up under Timing Constraints on Modern FPGAs
Meyer, J.; Noguera, J.; Huebner, M.; Stewart, R.; Becker, J.
2011. Proceedings of the 21st International Conference on Field Programmable Logic and Applications (FPL’11), Chania, Crete, Greece, September 5-7, 2011. Ed.: P. Athanas, 103–109, Institute of Electrical and Electronics Engineers (IEEE)
A Heterogeneous Multicore System on Chip with Run-Time Reconfigurable Virtual FPGA Architecture
Huebner, M.; Figuli, P.; Girardey, R.; Soudris, D.; Siozos, K.; Becker, J.
2011. Proceedings of the 18th Reconfigurable Architectures Workshop (RAW’11), Anchorage, Alaska, USA, May 16-17 2011, 143–149, Institute of Electrical and Electronics Engineers (IEEE)
An approach for power and performance evaluation of reconfigurable SoC at mixed abstraction levels
Kuehnle, M.; Brito, A. V.; Roth, C.; Kruesselin, M.; Becker, J.
2011. Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’11), Montpellier, France, June 20-22, 2011. Ed.: L. S. Indrusiak, 1–8, Institute of Electrical and Electronics Engineers (IEEE)
A statistical power estimation methodology embedded in a SystemC code translator
Kuehnle, M.; Wagner, A.; Becker, J.
2011. Proceedings of the Twenty-Fourth Symposium on Integrated Circuits and Systems Design, Joao Pessoa, Brazil, August 30 - September 2, 2011, 79–84, Association for Computing Machinery (ACM)
Architecture Design Space Exploration of Run-Time Scalable Issue-Width Processors
Koenig, R.; Stripf, T.; Heisswolf, J.; Becker, J.
2011. Proceedings of the International Conference on Embedded Computer Systems (SAMOS’11), Samos, Greece, July 18-21, 2011. Ed.: L. Carro, 77–84, Institute of Electrical and Electronics Engineers (IEEE)
A Scalable Microarchitecture Design that Enables Dynamic Code Execution for Variable-Issue Clustered Processors
Koenig, R.; Stripf, T.; Heisswolf, J.; Becker, J.
2011. Proceedings of the IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW’11), Anchorage, Alaska, USA, May 16-20, 2011, 150–157, Institute of Electrical and Electronics Engineers (IEEE)
Model-Based Configuration and Control for Real-Time Debugging on Reconfigurable Hardware
Schwalb, T.; Mueller-Glaser, K. D.
2011. Proceedings of the 2011 System, Software, SoC and Silicon Debug Conference (S4D’11), Munich, Germany, October 5-6, 2011. Ed.: A. Morawiec, 8–15, Electronic Chips & Systems design Initiative
A study on fine granular fault tolerance methodologies for FPGAs
Niknahad, M.; Sandery, O.; Becker, J.
2011. Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’11), Montpellier, France, June 20-22, 2011. Ed.: L. S. Indrusiak, 1–5, Institute of Electrical and Electronics Engineers (IEEE)
QFDR-an integration of Quadded Logic for modern FPGAs to tolerate high radiation effect rates
Niknahad, M.; Sandery, O.; Becker, J.
2011. Proceedings of the 12th European Conference on Radiation and its Effects on Component and Systems (RADECS’11), Sevilla, Spain, September 19-23, 2011 RADECS 2011, 119–122, Institute of Electrical and Electronics Engineers (IEEE)
FGTMR - Fine Grain Redundancy Method for Reconfigurable Architectures under high Failure Rates
Niknahad, M.; Sandery, O.; Becker, J.
2011. The 16th North-East Asia Symposium on Nano, Information Technology and Reliability (NASNIT’11), Macao, China, October 24-26 2011, Ed.: H. Chi Tin, 186–191, Institute of Electrical and Electronics Engineers (IEEE)
Using Quadded Logic in nanoPLAs to aggressively increase circuit yield
Niknahad, M.; Sandery, O.; Carro, L.; Azambuja, J.; Becker, J.; KastenSmidt, F. L.
2011. The 16th North-East Asia Symposium on Nano, Information Technology and Reliability (NASNIT’11), Macao, China, October 24-26, 2011. Ed.: H. Chi Tin, 180–185, Institute of Electrical and Electronics Engineers (IEEE)
All-optical real-time OFDM transmitter and receiver
Freude, W.; Hillerkuss, D.; Schellinger, T.; Schmogrow, R.; Winter, M.; Vallaitis, T.; Bonk, R.; Marculescu, A.; Li, J.; Dreschmann, M.; Meyer, J.; Ben Ezra, S.; Caspi, M.; Nebendahf, B.; Parmigiani, F.; Petropoiu, P.; Resan, B.; Oehler, A.; Weingarten, K.; Ellermeyer, T.; et al.
2011. Conference on Lasers and Electro-Optics (CLEO’11), Baltimore, Maryland, USA, May 1-6, 2011, 1–2, Institute of Electrical and Electronics Engineers (IEEE)
Approach of an FPGA based adaptive stepper motor control system
Dahm, N.; Huebner, M.; Becker, J.
2011. Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC’11), Montpellier, France, June 20-22, 2011. Ed.: L. S. Indrusiak, 1–6, Institute of Electrical and Electronics Engineers (IEEE)
RAMPSoCVM: Runtime Support and Hardware Virtualization for a Runtime Adaptive MPSoC
Goehringer, D.; Werner, S.; Huebner, M.; Becker, J.
2011. Proceedings of the 21st International Conference on Field Programmable Logic and Applications (FPL’11), Chania, Crete, Greece, September 5-7, 2011. Ed.: P. Athanas, 181–184, Institute of Electrical and Electronics Engineers (IEEE)
Heterogeneous and runtime parameterizable Star-Wheels Network-on-Chip
Goehringer, D.; Oey, O.; Huebner, M.; Becker, J.
2011. International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS’11), Samos, Greece, July 18-21, 2011. Ed.: L. Carro, 380–387, Institute of Electrical and Electronics Engineers (IEEE)
Reconfigurable MPSoC versus GPU: Performance, power and energy evaluation
Göhringer, D.; Birk, M.; Dasse-Tiyo, Y.; Ruiter, N.; Hübner, M.; Becker, J.
2011. Proceedings of the 9th IEEE International Conference on Industrial Informatics (INDIN’11), Lisbon, Portugal, July 26-29, 2011, 848–853, Institute of Electrical and Electronics Engineers (IEEE)
New Dimensions in Design Space and Runtime Adaptivity for Multiprocessor Systems through Dynamic and Partial Reconfiguration: The RAMPSoC Approach
Goehringer, D.; Becker, J.
2011. VLSI 2010 Annual Symposium. Selected papers. Ed.: N. Voros, 335–346, Springer Verlag
Adaptive Multi-Client Network-on-Chip Memory
Goehringer, D.; Meder, L.; Huebner, M.; Becker, J.
2011. Proceedings of the International Conference on ReConFigurable Computing and FPGAs (ReConFig’11), Cancun, Mexico, November 30 - December 2, 2011. Ed.: P. Athanas, 7–12, Institute of Electrical and Electronics Engineers (IEEE)
A novel ADL-based compiler-centric software framework for reconfigurable mixed-ISA processors
Stripf, T.; Koenig, R.; Becker, J.
2011. International Conference on Embedded Computer Systems (SAMOS 2011), Samos, Greece, July 18 - 21, 2011. Ed.: L. Carro, 157–164, Institute of Electrical and Electronics Engineers (IEEE)
Online Routing of FPGA Clock Networks for Module Relocation in Partial Reconfigurable Multi Clock Designs
Schuck, C.; Haetzer, B.; Huebner, M.; Becker, J.
2011. Proceedings of the IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW’11), Anchorage, Alaska, USA, May 16-20, 2011, 181–188, Institute of Electrical and Electronics Engineers (IEEE)
Laser excitation of retinal ganglion cells
Heussner, N.; Schnichels, S.; Spitzer, M.; Bartz-Schmidt, K. U.; Stork, W.
2011. Proceedings of the 10th International Workshop on Biomedical Engineering (BioEng’11), Kos Island, Greece, October 5-7, 2011, 1–3, Institute of Electrical and Electronics Engineers (IEEE)
Acceleration of image reconstruction in 3D ultrasound computer tomography: An evaluation of CPU, GPU and FPGA computing
Birk, M.; Guth, A.; Zapf, M.; Balzer, M.; Ruiter, N.; Hübner, M.; Becker, J.
2011. Conference on Design & Architectures for Signal & Image Processing (DASIP 2011), Tampere, Finland, November 2-4, 2011. Ed.: A. Morawiec, 67–74, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DASIP.2011.6136856
A FPGA based fast runtime reconfigurable real-time Multi-Object-Tracker
Ruemmele-Werner, M.; Perschke, T.; Braun, L.; Huebner, M.; Becker, J.
2011. Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’11), Rio de Janeiro, Brazil, May 15-18, 2011, 853–856, Institute of Electrical and Electronics Engineers (IEEE)
Power and performance optimization through MPI supported dynamic voltage and frequency scaling
Thoma, F.; Hübner, M.; Göhringer, D.; Yilmaz, H. Ü.; Becker, J.
2011. 3rd Many-core Applications Research Community (MARC) Symposium. Ed.: D. Göhringer, 75–78, KIT Scientific Publishing
Conference Proceedings
Presentations
Advanced HV System Hybrid Architectures for City Busses
Sax, E.; Elgas, B.-P.
2011. Electric Vehicle IT-Ecosystem, Berlin, 22.-23. März 2011
Assessment der Mobilität mithilfe von Beschleunigungssensoren und Assistenzsoftware bei Multiple Sklerose Patienten
Zentek, T.; Shammas, L.; Anastasopoulou, P.; Schwende, A.; Schlesinger, S.; Hey, S.; Rashid, A.
2011. Jahrestagung der Deutschen Gesellschaft für Medizinische Informatik, Biometrie und Epidemiologie (GMDS), Mainz, Germany, 2011
Einsatz von PREEvision bei Porsche - Motivation, Ziele, Use Cases
Goerber, M.
2011. Vortrag auf dem 1. PREEvision Users Day, 28. - 29. Juni in Stuttgart
Posters
Poster Abstract: A Sensor Network for Ad-Hoc Person Localization and Area Monitoring
Schmid, J.; Gaedeke, T.; Weber, P.; Stork, W.; Mueller-Glaser, K. D.
2011. Proceedings of the 8th European Conference on Wireless Sensor Networks (EWSN’11), Bonn, Germany, February 23-25, 2011; Poster and Demos Session
2010
Book Chapters
Adaptive Multiprocessor System-on-Chip Architecture: New Degrees of Freedom in System Design and Runtime Support
Goehringer, D.; Huebner, M.; Becker, J.
2010. Multiprocessor System-on-Chip - Hardware Design and Tool Integration. Ed.: M. Hübner, 127–154, Springer Verlag
PhD Theses
A Flexible Framework for Hardware/Software Design Space Exploration using Rewriting-Logic. PhD dissertation
Morra, C. F.
2010. Karlsruher Institut für Technologie (KIT)
Mobile Langzeit-EKG-Messung: Elektroden und Methoden zum Umgang mit Artefakten. PhD dissertation
Ottenbacher, J. M.
2010. Karlsruher Institut für Technologie (KIT)
Kommunikations- und Sicherungstechnologien für die Teletherapie chronischer Schmerzen. PhD dissertation
Großmann, U. M.
2010. Karlsruher Institut für Technologie (KIT)
Journal Articles
Dehnkraft-basierte Anforderungsanalyse für die textile Integration elektronischer Module in intelligente technische Textilien
Gharbi, A.; Ayadi, A.; Stork, W.; Müller-Glaser, K. D.
2010. Biomedizinische Technik, 55 (S1), 16–19
Real-Time Software-Defined Multiformat Transmitter Generating 64QAM at 28 GBd
Becker, J.; Dreschmann, M.; Huebner, M.; Meyer, J.; Schmogrow, R.; Hillerkuss, D.; Winter, M.; Nebendahl, B.; Koos, C.; Freude, W.; Leuthold, J.
2010. IEEE Photonics Technology Letters, 22 (21), 1601–1603. doi:10.1109/LPT.2010.2073698
Car-to-X Simulation Environment for Comprehensive Design Space Exploration Verification and Test
Becker, J.; Roth, C.; Sander, O.; Huebner, M.
2010. SAE International Journal of Passenger Cars - Electronic and Electrical Systems, 3 (1), 17–26. doi:10.4271/2010-01-0451
Design Assurance Strategy and Toolset for Partially Reconfigurable FPGA Systems
Kepa, K.; Morgan, F.; Kosciuszkiewicz, K.; Braun, L.; Huebner, M.; Becker, J.
2010. ACM transactions on reconfigurable technology and systems : TRETS, 4 (1). doi:10.1145/1857927.1857931
Conference Papers
Orthogonal frequency division multiplexing (OFDM) in photonic communications
Freude, W.; Hillerkuss, D.; Schellinger, T.; Schmogrow, R.; Winter, M.; Vallaitis, T.; Bonk, R.; Marculescu, A.; Dreschmann, M.; Meyer, J.; Ezra, S. B.; Narkiss, N.; Nebendahl, B.; Parmigiani, F.; Petropoulos, P.; Resan, B.; Weingarten, K.; Ellermeyer, T.; Lutz, J.; Moeller, M.; et al.
2010. Photonics 2010 : Tenth International Conference on Fiber Optics and Photonics : 11 - 15 December 2010, Guwahati, India, SPIE
Platform for ambulatory assessment of psycho-physiological signals and online data capture
Stumpp, J.; Anastasopoulou, P.; Hey, S.
2010. Proceedings of the 7th International Conference on Methods and Techniques in Behavioral Research. Ed.: E. Barakova, Art.Nr. 30, Association for Computing Machinery (ACM). doi:10.1145/1931344.1931374
Orthogonal frequency division multiplexing (OFDM) in photonic communications
Freude, W.; Hillerkuss, D.; Schellinger, T.; Schmogrow, R.; Winter, M.; Vallaitis, T.; Bonk, R.; Marculescu, A.; Dreschmann, M.; Meyer, J.; Ezra, S. B.; Narkiss, N.; Nebendahl, B.; Parmigiani, F.; Petropoulos, P.; Resan, B.; Weingarten, K.; Ellermeyer, T.; Lutz, J.; Moeller, M.; et al.
2010. Photonics 2010 : Tenth International Conference on Fiber Optics and Photonics : 11 - 15 December 2010, Guwahati, India, SPIE
Unobtrusive In-Vehicle Biosignal Instrumentation for Advanced Driver Assistance and Active Safety
Heuer, S.; Chamadiya, B.; Gharbi, A.; Kunze, C.; Wagner, M.
2010. 2010 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES 2010) : Kuala Lumpur, Malaysia, 30 November - 2 December 2010, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IECBES.2010.5742238
Monitoring Executions on Recon figurable Hardware at Model Level
Schwalb, T.; Graf, P.; Mueller-Glaser, K. D.
2010. 5th International MODELS Workshop on Models@run.time, October 3rd - 8th, Oslo, Norway
Rapid Specification fo Hardware-in-the-Loop Test Systems in the Automotive Domain Based on the Electric / Electronic Architecture Description of Vehicles
Hillenbrand, M.; Heinz, M.; Mueller-Glaser, K. D.
2010. Proceedings of 2010 21st IEEE International Symposium on Rapid System Prototyping (RSP 2010) : Fairfax, Virginia, USA, 8 - 11 June 2010, 50–55, Institute of Electrical and Electronics Engineers (IEEE)
FPGA Startup Through Sequential Partial and Dynamic Reconfiguration
Meyer, J.; Huebner, M.; Braun, L.; Sander, O.; Noguera, J.; Stewart, R.; Becker, J.
2010. VLSI 2010-Annual Symposium. Selected papers. Ed.: N. Voros, 289–302, Springer Verlag
Performance of an Ultra Wideband Radar for Detection of Water Accumulation in the Human Bladder
Li, X.; Pancera, E.; Niestoruk, L.; Stork, W.; Zwick, T.
2010. Proceedings of the European Radar Conference (EuRAD 2010), Paris, France, Sept. 30, 2010 - Oct. 1, 2010, 212–215, Institute of Electrical and Electronics Engineers (IEEE)
Optical Coherence Tomography for the Assessment of Paper Quality
Butzbach, M.; Malz, A.; Stork, W.
2010. Optical Sensors, Karlsruhe, Germany, June 21, 2010, paper SWD4/1–2, Optica Publishing Group (OSA)
Unobtrusive In-Vehicle Biosignal Instrumentation for Advanced Driver Assistance and Active Safet
Heuer, S.; Chamadiya, B.; Gharbi, A.; Kunze, C.; Wagner, M.
2010. Proceedings of 2010 IEEE EMBS Conference on Biomedical Engineering & Sciences (IECBES 2010), Kuala Lumpur, Malaysia, 30th November-2nd December 2010, 252–256, Institute of Electrical and Electronics Engineers (IEEE)
Performance, Accuracy, Power Consumption and Resource Utilization Analysis for Hardware / Software realized Artificial Neural Networks
Braga, A.; Goehringer, D.; Llanos, C.; Obie, J.; Huebner, M.; Becker, J.
2010. Proceedings of the Fifth International Conference on Bio-Inspired Computing: Theories and Applications (BIC-TA 2010), Liverpool, UK, 8-10 September, 2010, 1629–1636, Institute of Electrical and Electronics Engineers (IEEE)
Semi-Automatic Toolchain for Reconfigurable Multiprocessor Systems-on-Chip: Architecture Development and Application Partitioning
Becker, J.; Goehringer, D.; Huebner, M.; Benz, M.
2010. Proceedings of the Eighteenth ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2010), Monterey, California, USA, February 21 - 23, 2010, 286, Association for Computing Machinery (ACM)
CAP-OS: Operating System for Runtime Scheduling, Task Mapping and Resource Management on Reconfigurable Multiprocessor Architectures
Becker, J.; Huebner, M.; Goehringer, D.; Zeutebouo, E. N.
2010. Proceedings of the 2010 IEEE International Symposium on Parallel & Distributed Processing workshops and Phd forum, IPDPSW, Atlanta, Georgia, USA, 19 - 23 April 2010, 1 S., Institute of Electrical and Electronics Engineers (IEEE)
Fast dynamic and partial reconfiguration Data Path with low Hardware overhead on Xilinx FPGAs
Becker, J.; Huebner, M.; Goehringer, D.; Noguera, J.
2010. Proceedings of the 2010 IEEE International Symposium on Parallel & Distributed Processing workshops and Phd forum, IPDPSW, Atlanta, Georgia, USA, 19 - 23 April 2010, 1 S., Institute of Electrical and Electronics Engineers (IEEE)
Ultra-Wideband Signals for the Detection of Water Accumulations in the Human Body
Schmid, J.; Niestoruk, L.; Lamparth, S.; Stork, W.; Pancera, E.; Li, X.; Zwick, T.
2010. Proceedings of the Third International Conference on Bio-inspired Systems and Signal Processing, Valencia, Spain, January 20 - 23, 2010. Ed.: A. Fred, Paper 43, INSTICC
Ein textilintegriertes EKG-Monitoring-System mit berührungslosen, kapazitiven Elektroden
Fuhrhop, S.; Lamparth, S.; Heuer, S.; Saurer, B. R.
2010. Proceedings / Ambient assisted living 2010: 3. Deutscher AAL-Kongress mit Ausstellung Assistenzsysteme im Dienste des Menschen - Zuhause und Unterwegs, 26. - 27. Januar 2010 in Berlin, Tagungsbandbeiträge, CD-ROM, 4 S., VDE Verlag
KAHRISMA: A Novel Hypermorphic Reconfigurable- Instruction-Set Multi-grained-Array Architecture
Becker, J.; Koenig, R.; Stripf, T.; Bauer, L.; Henkel, J.; Shafique, M.; Ahmed, W.
2010. Proceedings of the 2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010, Vol. 1, 819–824, Institute of Electrical and Electronics Engineers (IEEE)
A Design Methodology for Application Partitioning and Architecture Development of Reconfigurable Multiprocessor Systems-on-Chip
Becker, J.; Goehringer, D.; Huebner, M.; Benz, M.
2010. Proceedings of the 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, proceedings, FCCM 2010, 2-4 May 2010, Charlotte, North Carolina, USA. Ed.: K. L. Pocek, 259, IEEE Computer Society
High Performance Reconfigurable Multi-Processor-Based Computing on FPGAs
Becker, J.; Goehringer, D.
2010. Proceedings of the 2010 IEEE International Symposium on Parallel & Distributed Processing workshops and Phd forum, IPDPSW, Atlanta, Georgia, USA, 19 - 23 April 2010, 4 S., Institute of Electrical and Electronics Engineers (IEEE)
Fast Sequential FPGA Startup based on Partial and Dynamic Reconfiguration
Becker, J.; Huebner, M.; Meyer, J.; Sander, O.; Braun, L.; Noguera, J.; Stewart, R.
2010. Proceedings of the IEEE Annual Symposium on VLSI, ISVLSI 2010, Lixouri, Kefalonia, Greece, 5-7 July 2010, 190–194, Institute of Electrical and Electronics Engineers (IEEE)
Reliability Analysis and Improvement in Nano Scale Design
Becker, J.; Huebner, M.; Niknahad, M.
2010. Proceedings of the IEEE Annual Symposium on VLSI, ISVLSI 2010, Lixouri, Kefalonia, Greece, 5-7 July 2010, 299–303, Institute of Electrical and Electronics Engineers (IEEE)
An approach to robust sensor network localization
Mueller-Glaser, K. D.; Schmid, J.; Anselm, T.; Mairbäurl, M.; Stork, W.
2010. Proceedings of the Seventh International Conference on Networked Sensing Systems, Kassel, Germany, June 15 - 18, 2010, 340–341, Institute of Electrical and Electronics Engineers (IEEE)
Initiation of a course package based on the Bologna process with support of the department of educational development
Mueller-Glaser, K. D.; Gharbi, A.; Schwalb, T.; Aders, M.; Diez, A.
2010. Proceedings of the 8th European Workshop on Microelectronics Education, Darmstadt, Germany, May 10-12, 2010, 4 S
FPGA-based Runtime Adaptive Multiprocessor Approach for Embedded High Performance Computing Applications
Becker, J.; Goehringer, D.
2010. Proceedings of the IEEE Annual Symposium on VLSI, ISVLSI 2010, Lixouri, Kefalonia, Greece, 5-7 July 2010, 477–478, Institute of Electrical and Electronics Engineers (IEEE)
FPGA-based Embedded Signal Processing for 3D Ultrasound Computer Tomography
Becker, J.; Birk, M.; Koehler, S.; Balzer, M.; Huebner, M.; Ruiter, N.
2010. Proceedings of the 17th IEEE-NPSS Real Time Conference (RT), Lisbon, Portugal, 24-28 May 2010, 1–5, Institute of Electrical and Electronics Engineers (IEEE)
Message Passing Interface Support for the Runtime Adaptive Multi-Processor System-on-Chip RAMPSoC
Becker, J.; Goehringer, D.; Huebner, M.; Hugot-Derville, L.
2010. Proceedings of the 10th International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, IC-SAMOS 2010, Samos, Greece, 19-22 July, 2010. Ed.: F. J. Kurdahi, 357–364, Institute of Electrical and Electronics Engineers (IEEE)
Scenario extraction for a refined timing-analysis of automotive network topologies
Becker, J.; Traub, M.; Streichert, T.; Krasovytskyy, O.
2010. Proceedings of the 2010 Design, Automation & Test in Europe Conference & Exhibition, DATE 2010, Dresden, Germany, 8 - 12 March 2010, 81–86, Institute of Electrical and Electronics Engineers (IEEE)
An Approach for Rapidly Adapting the Demands of ISO/DIS 26262 to Electric/Electronic Architecture Modeling
Mueller-Glaser, K. D.; Hillenbrand, M.; Heinz, M.; Adler, N.; Matheis, J.; Reichmann, C.
2010. Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping (RSP) 2010, Fairfax, VA, USA, June 8-11, 2010, 7 S., Institute of Electrical and Electronics Engineers (IEEE)
ISO/DIS 26262 in the context of electric and electronic architecture modeling
Mueller-Glaser, K. D.; Hillenbrand, M.; Heinz, M.; Adler, N.; Matheis, J.; Reichmann, C.
2010. Architecting critical systems: First International Symposium, ISARC 2010 Prague, Czech Republic, June 23 - 25, 2010; proceedings. Ed.: H. Giese, 179–192, Springer Verlag
Failure mode and effect analysis based on electric and electronic architectures of vehicles to support the safety lifecycle ISO/DIS 26262
Mueller-Glaser, K. D.; Hillenbrand, M.; Heinz, M.; Adler, N.; Matheis, J.
2010. Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping (RSP) 2010, Fairfax, VA, USA, June 8-11, 2010, 7 S., Institute of Electrical and Electronics Engineers (IEEE)
Rapid specification of hardware-in-the-loop test systems in the automotive domain based on the electric / electronic architecture description of, vehicles
Mueller-Glaser, K. D.; Hillenbrand, M.; Heinz, M.
2010. Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping (RSP) 2010, Fairfax, VA, USA, June 8-11, 2010, 6 S., Institute of Electrical and Electronics Engineers (IEEE)
Debugging Sequential Logic on FPGAs using Internal Configuration Access Port
Becker, J.; Müller-Glaser, K. D.; Schwalb, T.; Braun, L.; Werner, S.; Huebner, M.
2010. Conference on System Software, SoC and Silicon Debug (S4D 2010), Southampton, UK, September 15-16, 2010
Car-to-X-in-the-Loop - Development Environment for Vehicles, Control Units and Communication Systems in the Context of future Mobility Concepts
Becker, J.; Müller-Glaser, K. D.; Roth, C.; Sander, O.; Düser, T.; Glas, B.; Seifermann, A.; Albers, A.; Henning, J.
2010. 26. VDI-VW-Gemeinschaftstagung Fahrerassistenz und Integrierte Sicherheit, Tagung Wolfsburg, 6. und 7. Oktober 2010, VDI Verlag
Monitoring Executions on Reconfigurable Hardware at Model Level
Schwalb, T.; Müller-Glaser, K. D.; Graf, P.
2010. Proceedings of the 5th Workshop on Models@run.time at the ACM/IEEE 13th International Conference on Model Driven Engineering Languages and Systems (MODELS 2010), Oslo, Norway, October 5th, 2010. Ed.: N. Bencomo, 96–107, RWTH Aachen
A Flexible Integrated Cryptoprocessor for Authentication Protocols based on Hyperelliptic Curve Cryptography
Becker, J.; Klimm, A.; Haas, M.; Sander, O.
2010. Proceedings of the 2010 International Symposium on System on Chip (SoC), Tampere, 29-30 Sept. 2010, 35–42, Institute of Electrical and Electronics Engineers (IEEE)
Two-Dimensional Dynamic Multigrained Reconfigurable Hardware
Becker, J.; Braun, L.
2010. Proceedings of the 2010 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Lixouri, Kefalonia, 5-7 July 2010, 475–476, Institute of Electrical and Electronics Engineers (IEEE)
A Secure Keyflashing Framework for Access Systems in Highly Mobile Devices
Becker, J.; Klimm, A.; Glas, B.; Wachs, M.; Müller-Glaser, K. D.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner, 121–126, KIT Scientific Publishing
ECDSA Signature Processing over Prime Fields for Reconfigurable Embedded Systems
Becker, J.; Sander, O.; Glas, B.; Stuckert, V.; Müller-Glaser, K. D.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner, 115–120, KIT Scientific Publishing
First Evaluation of FPGA Reconfiguration for 3D Ultrasound Computer Tomography
Becker, J.; Huebner, M.; Birk, M.; Hagner, C.; Balzer, M.; Ruiter, N.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner. 5th International Workshop on Reconfigurable Communication-centric Systems on Chip (ReCoSoC’10 2010) Karlsruhe, Deutschland, 17.05.2010–19.05.2010, 109–114, KIT Scientific Publishing. doi:10.5445/IR/1000018653
ISRC: a runtime system for heterogeneous reconfigurable architectures
Becker, J.; Thoma, F.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner, 59–66, KIT Scientific Publishing
Dynamic Online Reconfiguration of Digital Clock Managers on Xilinx Virtex-II/Virtex II-Pro FPGAs: A Case Study of Distributed Power Management
Becker, J.; Schuck, C.; Haetzer, B.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner, 45–50, KIT Scientific Publishing
Impact of Task Distribution, Processor Configurations and Dynamic Clock Frequency Scaling on the Power Consumption of FPGA-based Multiprocessors
Becker, J.; Huebner, M.; Goehringer, D.; Obie, J.
2010. Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 - ReCoSoC’10 - May 17-19, 2010 Karlsruhe, Germany. Ed.: M. Hübner, 13–20, KIT Scientific Publishing
Evaluation system for monitoring of vital parameters and active body climate control
Gharbi, A.; Stork, W.; Mueller-Glaser, K. D.
2010. Biosignals 2010 - The International Joint Conference on Biomedical Engineering Systems and Technologies, 20 - 23 January 2010, Valencia / Spanien, Valencia
Presentations
Einsatz der eASEE Automotive Solution bei Porsche
Bortolazzi, J.; Zoeller, R.; Goerber, M.
2010. Vortrag auf dem 5. Vector-Kongress in Stuttgart
Posters
Poster Abstract: A Wireless MEMS-Sensor Network Concept for the Condition Monitoring of Ball Screw Drives in Industrial Plants
Schmid, J.; Gaedeke, T.; Hennrich, H.; Blank, T.; Stork, W.
2010. Proceedings of the SenSys 2010, The 8th ACM Conference on Embedded Networked Sensor Systems, Zurich, Switzerland, November 3-5, 2010
2009
Book Chapters
Adaptive Runtime System with Intelligent Allocation of Dynamically Reconfigurable Function Model and Optimized Interface Topologies
Becker, J.; Müller-Glaser, K. D.; Braun, L.; Schwalb, T.; Graf, P.; Huebner, M.; Ullmann, M.
2009. Dynamically reconfigurable systems: architectures, design methods and applications. Ed.: M. Platzner, 245–268, Springer Verlag
Control of dynamic reconfiguration
Thoma, F.; Becker, J.
2009. Dynamic System Reconfiguration in Heterogeneous Platforms: The MORPHEUS Approach. Ed.: N. Voros, 129–137, Springer Verlag
Training
Huebner, M.; Becker, J.; Kuehnle, M.; Thoma, F.
2009. Dynamic System Reconfiguration in Heterogeneous Platforms: The MORPHEUS Approach. Ed.: N. Voros, 233–249, Springer Verlag
The MORPHEUS Data Communication And Storage Infrastructure
Kühnle, M.; Hübner, M.; Becker, J.; et al.
2009. Dynamic system reconfiguration in heterogeneous platforms. The MORPHEUS approach. Ed.: N. S. Voros, 93–105, Springer Verlag
Architektur für das echtzeitfähige Debugging ausführbarer Modelle auf rekonfigurierbarer Hardware
Schwalb, T.; Graf, P.; Mueller-Glaser, K. D.
2009. Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen. Hrsg.: C. Gremzow, 10, Univ.-Verl. der TU
Books
Dynamic System Reconfiguration in Heterogeneous Platforms: The MORPHEUS Approach
Hübner, M.; Voros, N.
2009. (A. Rosti, Ed.), Springer Verlag
Dynamic System Reconfiguration in Heterogeneous Platforms
Huebner, M. (Ed.)
2009. Springer Verlag
PhD Theses
Power Optimized Design of FPGA-based Self Adaptive Systems. PhD dissertation
Paulsson, K.
2009. Universität Karlsruhe (TH)
A Location Aware Wireless Sensor Network for Assisting Emergency Response to Disasters. PhD dissertation
Chandra-Sekaran, A.-K.
2009. Universität Karlsruhe (TH)
Biomedical Telemetry Application of an Electronic Capsule with Enhanced Performance. PhD dissertation
Fawaz, N.
2009. Universität Karlsruhe (TH)
Assessment of Human Physical Activity Using Mobile Sensors. PhD dissertation
Jatobá Großmann, L.
2009. Universität Karlsruhe (TH)
Journal Articles
Design Assurance Strategy and Toolset for Partially Reconfigurable FPGA Systems
Becker, J.; Huebner, M.; Braun, L.; Kepa, K.; Morgan, F.; Kosciuszkiewicz, K.
2009. ACM Transactions on Reconfigurable Technology and Systems, 4 (1), 4/1–26
A Disaster Aid Sensor Network using ZigBee for Patient Localization and Air Temperature Monitoring
Mueller-Glaser, K. D.; Chandra-Sekaran, A.; Nwokafor, A.; Shammas, L.; Kunze, C.
2009. International Journal On Advances in Internet Technology, 2 (1), 68–80
An Interface for a Decentralized 2D Reconfiguration on Xilinx Virtex-FPGAs for Organic Computing
Becker, J.; Schuck, C.; Haetzer, B.
2009. International Journal of Reconfigurable Computing, 2009, 273791/1–11
A Taxonomy of Reconfigurable Single/Multi-Processor Systems-on-Chip
Huebner, M.; Becker, J.; Goehringer, D.; Perschke, T.
2009. International Journal of Reconfigurable Computing, 2009, 395018/1–11
Selected Papers from ReCoSoc08
Huebner, M.; Sassatelli, G.
2009. (P. Zipf, Ed.) International Journal of Reconfigurable Computing, 2009
Adaptive real-time image processing exploiting two dimensional reconfigurable architecture
Braun, L.; Goehringer, D.; Huebner, M.; Becker, J.; Perschke, T.; Schatz, V.
2009. Journal of Real-Time Image Processing, 4 (2), 109–125
Conference Papers
Automated synthesis and configuration of hardware in the loop test equipment
Fuchs, S.; Butting, B.; Sax, E.
2009. Applied Electronics International Conference, AE 2009, Pilsen, CZ, 9 September 9-10, 2009, 115–118
Funktionaler Softwaretest für aktive Fahrerassistenzsysteme mittels parametrierter Szenario-Simulation
Schmidt, F.; Sax, E.
2009. Informatik 2009: Im Focus das Leben. Beiträge der 39. Jahrestagung der Gesellschaft für Informatik e.V. (GI), Lübeck, 28.9.-2.10.2009
Testen von Elektronik in der Automobilindustrie - von der ausführbaren Spezifikation bis zum automatisierten Test im Fahrzeug
Sax, E.
2009. Software Engineering 2009: Fachtagung des GI-Fachbereichs Softwaretechnik, Kaiserslautern, 02.- 06.03.2009
Image Processing exploiting new dimensions in reconfigurable multiprocessor systems (Invited Talk)
Goehringer, D.; Perschke, T.; Becker, J.
2009. Design, Automation & Test in Europe Conference & Exhibition : DATE 2009, Nice, France, 20 - 24 April 2009, Institute of Electrical and Electronics Engineers (IEEE)
Multi-Processor-based High Performance Computing utilizing dynamic reconfigurable Hardware (PhD Poster)
Goehringer, D.; Becker, J.
2009. Proceedings of the Conference on Design, Automation and Test in Europe, DATE ’09, 20 - 24 April 2009, Nice, France, Art.Nr.: 5470800, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPSW.2010.5470800
Nutzung von Sensornetzwerken und mobilen Informationsgeräten für die Situationserfassung und die Prozessunterstützung bei Massenanfällen von Verletzten
Kunze, C.; Rodriguez, D.; Shammas, L.; Chandra-Sekaran, A.; Weber, B.
2009. INFORMATIK 2009 : Im Focus das Leben, 39. Jahrestagung der Gesellschaft für Informatik e.V. (GI) 28. September bis 2. Oktober Lübeck, Gesellschaft für Informatik (GI)
Car-to-X Kommunikation auf vertrauenswürdiger rekonfigurierbarer Hardware
Glas, B.; Sander, O.; Mueller-Glaser, K. D.; Becker, J.
2009. 25. VDI/VW Gemeinschaftstagung Automotive Security, VDI Verlag
Star-wheels network-on-chip featuring a self-adaptive mixed topology and a synergy of a circuit- and a packet-switching communication protocol
Goehringer, D.; Liu, B.; Huebner, M.; Becker, J.
2009. 19th International Conference on Field Programmable Logic and Applications; Prague, Czech Republic, 31 August 2009 through 2 September 2009, 320–325, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/FPL.2009.5272279
A Top-Down formal Verification Approach of LIN Hardware IP based on the GapFreeVerification(TM) Process
Sander, O.; Klimm, A.; Hogh-Binder, A.; Bulach, S.; Weinberger, K.
2009. Proceedings / edaWorkshop 09, Dresden (Germany), May 26 - 28, 2009, 55–60, VDE Verlag
System concept for an FPGA based real-time capable automotive ECU simulation system
Becker, J.; Sander, O.; Roth, C.; Stuckert, V.
2009. Proceedings of the SBCCI ’09 22nd Symposium on Integrated Circuits and System Design, Natal, Brazil, August 31 - September 03, 2009, 34/1–6, Association for Computing Machinery (ACM)
RTL-to-layout implementation of an embedded coarse grained architecture for dynamically reconfigurable computing in systems-on-chip
Becker, J.; Koenig, R.; Dreschmann, M.; Huebner, M.
2009. Proceedings of the 2009 International Symposium on System-on-Chip, (SOC 2009), Tampere, Finland, 5 - 7 October 2009. Ed.: J. Nurmi, 110–113, Institute of Electrical and Electronics Engineers (IEEE)
Sicherung von Zuverlässigkeit und Interoperabilität bei der fahrzeuginternen Kommunikation mittels formaler Verifikation
Becker, J.; Sander, O.; Klimm, A.; Becker, J. E.; Kimmeskamp, T.; Formann, J.; Echtle, K.; Weinberger, K.; Bulach, S.
2009. Proceedings of the 14. Internationaler Kongress Elektronik im Kraftfahrzeug = Electronic Systems for Vehicles, Baden-Baden, 7. und 8. Oktober 2009, 345–356, VDI Verlag
A MicroBlaze specific co-processor for real-time hyperelliptic curve cryptography on Xilinx FPGAs
Becker, J.; Klimm, A.; Sander, O.
2009. Proceedings of the 2009 IEEE International Symposium on Parallel & Distributed Processing, (IPDPS 2009), Rome, Italy, 23 - 29 May 2009, 1–8, Institute of Electrical and Electronics Engineers (IEEE)
Design of a Vehicle-to-Vehicle Communication System on Reconfigurable Hardware
Mueller-Glaser, K. D.; Becker, J.; Sander, O.; Glas, B.; Roth, C.
2009. Proceedings of the 2009 International Conference on Field-Programmable Technology, FPT 2009, Sydney, Australia, 9 - 11 December 2009. Ed.: N. Bergmann, 14–21, Institute of Electrical and Electronics Engineers (IEEE)
GenerateRCS: A High-Level Design Tool for Generating Reconfigurable Computing Systems
Becker, J.; Goehringer, D.; Luhmann, J.
2009. Proceedings / VLSI-SoC: Advanced Topics on Systems on a Chip. A Selection of Extended Versions of the Best Papers of the Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2007), October 15-17, 2007, Atlanta, USA. Ed.: P. Hasler, Springer US
Empirical Analysis and Ranging using Environment and Mobility Adaptive RSSI Filter For Patient Localization during Disaster Management
Stork, W.; Chandra-Sekaran, A.; Kunze, C.; Weisser, P.; Dheenathayalan, P.
2009. Proceedings of the 2009 Fifth Advanced International Conference on Telecommunications, (AICT), Venice, Italy, 24 - 28 May 2009. Ed.: P. Geraci, 276–281, Institute of Electrical and Electronics Engineers (IEEE)
A Range-Based Monte Carlo Patient Localization during Emergency Response to Crisis
Mueller-Glaser, K. D.; Chandra-Sekaran, A.; Stefansson, G.; Kunze, C.; Weisser, P.
2009. Proceedings of the 2009 Fifth Advanced International Conference on Telecommunications, (AICT), Venice, Italy, 24 - 28 May 2009. Ed.: P. Geraci, 21, Institute of Electrical and Electronics Engineers (IEEE)
A Comparison of Bayesian Filter Based Approaches for Patient Localization During Emergency Response to Crisis
Mueller-Glaser, K. D.; Chandra-Sekaran, A.; Weisser, P.; Kunze, C.
2009. Proceedings of the 2009 3rd International Conference on Sensor Technologies and Applications, SENSORCOMM 2009, Athens/Glyfada, Greece, 18 - 23 June 2009. Ed.: J. M. Hovem, 636, Institute of Electrical and Electronics Engineers (IEEE)
Nutzung von Sensornetzwerken und mobilen Informationsgeräten für die Situationserfassung und die Prozessunterstützung bei Massenanfällen von Verletzten
Chandra-Sekaran, A.; Kunze, C.; Rodriguez, D.; Shammas, L.; Weber, B.
2009. Proceedings / Informatik 2009: im Focus das Leben. Beiträge der 39. Jahrestagung der Gesellschaft für Informatik e.V. (GI), 28.9.-2.10.2009 in Lübeck. Hrsg.: S. Fischer, 152, Gesellschaft für Informatik (GI)
BRICK: a multi-context expression grained reconfigurable architecture
Huebner, M.; Eusse, J. F.; Jacobi, R.
2009. Proceedings of the 22nd Symposium on Integrated Circuits and Systems Design 2009, SBCCI 2009: Chip on the Dunes, Natal, Brazil, 31 August - 3 September 2009. Ed.: I. S. Silva, 36/1–6, Curran
A Mobile Device for Textile-integrated Long-term ECG Monitoring
Lamparth, S.; Fuhrhop, S.; Ottenbacher, J.; Kirst, M.; Wagner, G. von
2009. Proceedings of the World Congress on Medical Physics and Biomedical Engineering, 7 - 12 September, 2009, Munich, Germany. Ed.: O. Dössel, 278–281, Springer Verlag
Ambulant ECG Recording with Wet and Dry Electrodes: A Direct Comparison of two Systems
Lamparth, S.; Fuhrhop, S.; Ottenbacher, J.; Kirst, M.; Wagner, G. von
2009. Proceedings of the World Congress on Medical Physics and Biomedical Engineering, 7 - 12 September, 2009, Munich, Germany. Ed.: O. Dössel, 305–307, Springer Verlag
Transferring an Artifact Handling System into a Mobile Long-term ECG Device with Dry Electrodes
Lamparth, S.; Fuhrhop, S.; Ottenbacher, J.; Kirst, M.; Kunze, C.
2009. Proceedings of the World Congress on Medical Physics and Biomedical Engineering, 7 - 12 September, 2009, Munich, Germany. Ed.: O. Dössel, 942–945, Springer Verlag
A Textile Integrated Long-Term ECG Monitor with Capacitively Coupled Electrodes
Fuhrhop, S.; Lamparth, S.; Heuer, S.
2009. Proceedings of the 2009 IEEE Biomedical Circuits and Systems Conference (BioCAS 2009), Beijing, China, 26 - 28 November 2009, 21–24, Institute of Electrical and Electronics Engineers (IEEE)
Method for improving performance in online routing of reconfigurable nano architectures
Becker, J.; Huebner, M.; Niknahad, M.
2009. Proceedings of the 2009 IEEE International SOC Conference (SOCC 2009), Belfast, Ireland, 9 - 11 September 2009, 65–70, Institute of Electrical and Electronics Engineers (IEEE)
A Simplified Protocol for Energy Self-Sufficient Sensors in an IEEE 802.15.4/ZigBee WSN
Niestoruk, L.; Schmid, J.; Boll, H. P.; Stork, W.; Mueller-Glaser, K.
2009. 2009 International Conference on Intelligent Sensors, Sensor Networks, and Information Processing (ISSNIP 2009). Melbourne, Australia, 7 - 10 December 2009. Ed.: S. Marusic, 391–396, Institute of Electrical and Electronics Engineers (IEEE)
An Approach to Supply Simulations of the Functional Environment of ECUs for Hardware-in-the-Loop Test Systems Based on EE-architectures Conform to AUTOSAR
Hillenbrand, M.; Mueller-Glaser, K. D.
2009. IEEE/IFIP International Symposium on Rapid System Prototyping (RSP 2009), 23-26 June 2009, Paris, France, 188–195, Institute of Electrical and Electronics Engineers (IEEE)
Physical Layer Extraction of FlexRay Configuration Parameters
Heinz, M.; Hoss, V.; Mueller-Glaser, K. D.
2009. IEEE/IFIP International Symposium on Rapid System Prototyping (RSP 2009), 23-26 June 2009, Paris, France, 173–180, Institute of Electrical and Electronics Engineers (IEEE)
A Multi-Core Signal Processor for Heterogeneous Reconfigurable Computing
Kuehnle, M.; Huebner, M.; Becker, J.; et al.
2009. International Symposium on System-on-Chip (SOC 2009), October 5-7, 2009, Tampere, Finland, 106–109, Institute of Electrical and Electronics Engineers (IEEE)
Car-to-X Kommunikation auf vertrauenswürdiger rekonfigurierbarer Hardware
Glas, B.; Sander, O.; Mueller-Glaser, K. D.; Becker, J.
2009. Automotive Security - 25. VDI/VW-Gemeinschaftstagung, 19. und 20. Oktober 2009, Ingolstadt, Germany; 1 CD-Rom, VDI-Wissensforum
Dynamic Reconfigurable Mixed-Signal Architecture for Safety Critical Applications
Girardey, R.; Hübner, M.; Becker, J.
2009. Proceedings of the International Conference on Field Programmable Logic and Applications (FPL 2009), 31. Aug. - 2.Sept. 2009, Prague, Czech Republic, 503–506, Institute of Electrical and Electronics Engineers (IEEE)
Real time information processing for car to car communication applications
Sander, O.; Glas, B.; Roth, C.; Becker, J.; Mueller-Glaser, K. D.
2009. EAEC 2009 - Europe in the second century of auto-mobility, 12th EAEC European Automotive Congress, June 29 to July 1, 2009, Bratislava, Slovakia; 1 CD-ROM, Bratislava
Configuration Measurement for FPGA-based Trusted Platforms
Glas, B.; Klimm, A.; Mueller-Glaser, K. D.; Becker, J.
2009. IEEE/IFIP International Symposium on Rapid System Prototyping (RSP 2009), 23-26 June 2009, Paris, France, 123–129, Institute of Electrical and Electronics Engineers (IEEE)
Testing of an FPGA-based C2X-Communication Prototype with a Model Based Traffic Generation
Sander, O.; Glas, B.; Roth, C.; Becker, J.; Mueller-Glaser, K. D.
2009. IEEE/IFIP International Symposium on Rapid System Prototyping (RSP 2009), 23-26 June 2009, Paris, France, 68–71, Institute of Electrical and Electronics Engineers (IEEE)
Star-Wheels Network-on-Chip featuring a self-adaptive mixed topology and a synergy of a circuit - and a packet-switching communication protocol
Göhringer, D.; Liu, B.; Hübner, M.; Becker, J.
2009. Proceedings of the International Conference on Field Programmable Logic and Applications (FPL 2009), 31. Aug. - 2. Sept. 2009, Prague. Czech Republic, 320–325, Institute of Electrical and Electronics Engineers (IEEE)
Priority-based packet communication on a bus-shaped structure for FPGA-systems
Sander, O.; Glas, B.; Roth, C.; Becker, J.; Mueller-Glaser, K. D.
2009. DATE 2009 - Design, Automation & Test in Europe Conference & Exhibition, 20-24 April 2009, Nice, France, 178–183, Institute of Electrical and Electronics Engineers (IEEE)
Multi-Processor-based High Performance Computing utilizing dynamic reconfigurable Hardware
Becker, J.; Göhringer, D.
2009. DATE 2009 - Design, Automation & Test in Europe Conference & Exhibition, 20 - 24 April 2009, Nice, France; PH.D. Forum, Institute of Electrical and Electronics Engineers (IEEE)
Car-to-Car Communication Security on Reconfigurable Hardware
Glas, B.; Sander, O.; Stuckert, V.; Mueller-Glaser, K. D.; Becker, J.
2009. Proceedings / 2009 IEEE Vehicular Technology Conference (VTC 2009 Spring), 26 - 29 April 2009, Barcelona, Spain, 5 S., Institute of Electrical and Electronics Engineers (IEEE)
Image Processing exploiting new dimensions in reconfigurable multiprocessor systems
Göhringer, D.; Perschke, T.; Becker, J.
2009. DATE 2009 - Design, Automation & Test in Europe Conference & Exhibition, 20 - 24 April 2009, Nice, France; Friday Workshop, Institute of Electrical and Electronics Engineers (IEEE)
Untersuchungen zur Eignung von FlexRay für die Bühnentechnik
Heinz, M.; Adler, N.; Mueller-Glaser, K. D.; Puls, R.
2009. 7. GI/GMM/ITG-Workshop "Multi-Nature-Systems", 2. und 3. Februar 2009, Ulm, Germany, 5, VDE Verlag
Continous non-invasive Pulse Transit Time Measurement for Psycho-physiological Stress Monitoring
Gharbi, A.; Hey, S.; Haaren, B. von; Walter, K.; Koenig, N.; Loeffler, S.
2009. eTELEMED 2009 - International Conference on eHealth, Telemedicine, and Social Medicine, February 1-7, 2009, Cancun, Mexico, 113–116, Institute of Electrical and Electronics Engineers (IEEE)
Presentations
Adaptive Multiprocessor System-on-Chip Architecture {\^{a}}€“ New degrees of freedom in system design and run-time support (Invited Talk)
Goehringer, D.
2009. Design Automation Conference (DAC 2009), Workshop on Multiprocessor System-on-Chip: Current Trends and the Future, DAC 2009 : 56. Jahrestagung der DGAI ; 9. - 12. Mai 2009, Congress Center Leipzig
2008
Book Chapters
A System Architecture for Reconfigurable Trusted Platforms
Glas, B.; Klimm, A.; Sander, O.; Mueller-Glaser, K. D.; Becker, J.
2008. DATE 2008 - Design, Automation and Test in Europe, 10 - 14 March 2008, Munich, Germany, 541–544, IEEE Service Center
Design of a HW/SW Communication Infrastructure for a Heterogeneous Reconfigurable Processor
Kuehnle, M.; Ries, F.; Huebner, M.; Becker, J.; et al.
2008. DATE 2008 - Design, automation and test in Europe, 10 - 14 March 2008, Munich, Germany, 1352–1357, IEEE Service Center
A Novel Recursive Algorithm for Bit-Efficient Realization of Arbitrary Length Inverse Modified Cosine Transforms
Koenig, R.; Stripf, T.; Becker, J.
2008. DATE 2008 - Design, Automation and Test in Europe, 10 - 14 March 2008, Munich, Germany, 604–609, IEEE Service Center
Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity-based Measurement Application on Xilinx FPGAs
Paulsson, K.; Huebner, M.; Becker, J.
2008. DATE 2008 - Design, Automation and Test in Europe, 10 - 14 March 2008, Munich, Germany, 50–55, IEEE Service Center
Standards for Electric/Electronic Components and Architectures
Becker, J.; Sander, O.; Huebner, M.; Traub, M.; Weber, T.; Luka, J.; Lauer, V.
2008. Convergence 2008, Oct 20-22, 2008, Detroit, Michigan, USA, Detroit
Data Reallocation by Exploiting FPGA Configuration Mechanisms
Sander, O.; Braun, L.; Huebner, M.; Becker, J.
2008. Reconfigurable computing: architectures, tools and applications. Ed.: R. Woods, 312–317, Springer Verlag
Journal Articles
Offline and Online Aspects of Defragmenting the Module Layout of a Partially Reconfigurable Device
Goehringer, D.; Fekete, S.; Veen, J. van der; Ahmadinia, A.; Majer, M.; Teich, J.
2008. IEEE Transactions on Very Large Scale Integration Systems, 16 (9), 1210–1219
An Interconnect Strategy for a Heterogeneous, Reconfigurable SoC
Kuehnle, M.; Huebner, M.; Becker, J.; Deledda, A.; Mucci, C.; Ries, F.; Coppola, A. M.; Pieralisi, L.; Locatelli, R.; Maruccia, G.; DeMarco, T.; Campi, F.
2008. IEEE Design & Test of Computers, 25 (5), 442–451
An Optically Powered Video Camera Link
Boettger, G.; Dreschmann, M.; Klamouris, C.; Huebner, M.; Rger, M.; Kueng, T.; Becker, J.; Freude, W.; Leuthold, J.
2008. IEEE Photonics Technology Letters, 20 (1), 39–41
Offline and Online Aspects of Defragmenting the Module Layout of a Partially Reconfigurable Device
Goehringer, D.; Fekete, S.; Veen, J. van der; Ahmadinia, A.; Majer, M.; Teich, J.
2008. IEEE Transactions on Very Large Scale Integration Systems, 16 (9), 1210–1219
Current Trends on Reconfigurable Computing
Becker, J.; Huebner, M.; Woods, R.; Long, P.; Esser, R.; Torres, L.
2008. International Journal of Reconfigurable Computing, 2008, 918525/1–1
Conference Papers
Testing automotive system prototypes far before driving on the proving ground
Sax, E.; Abendroth, S.
2008. The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, Monterey, CA, June 2-5, 2008, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/RSP.2008.41
UNISENS - Ein universelles Datenformat für Multisensordaten
Kirst, M.; Ottenbacher, J.; Nedkov, R.
2008. Biosignalverarbeitung : Innovation bei der Erfassung und Analyse bioelektrischer und biomagnetischer Signale, Universität Potsdam, 16. - 18.07.2008,Tagungsband, [Workshop Biosingalverarbeitung 2008]. Hrsg.: H. Mahlberg..., 106–108, Universität
Towards Novel Approaches in Design Automation for FPGA Power Optimization
Noguera, J.; Esser, R.; Paulsson, K.; Hübner, M.; Becker, J.
2008. Integrated Circuit and System Design : Power and Timing Modeling, Optimization and Simulation : 18th International Workshop, PATMOS 2008, Revised Selected Papers, Lisbon, Portugal, 10th - 12th September 2008. Ed.: L. Svensson, 419–428, Springer Verlag. doi:10.1007/978-3-540-95948-9_42
Reducing latency times by accelerated routing mechanisms for an FPGA gateway in the automotive domain
Huebner, M.; Becker, J.; Sander, O.; Traub, M.
2008. Proceedings of the 2008 International Conference on Field-Programmable Technology, December 7 - 10, 2008, the Grand Formosa Regent Hotel, Taipei, Taiwan. Ed.: T. El-Ghazawi, 97–104, Institute of Electrical and Electronics Engineers (IEEE)
Design Flows, Communication Based Design and Architectures in Automotive Electronic Systems
Becker, J.; Huebner, M.; Esser, R.; Herkersdorf, A.; Stechele, W.; Lauer, V.
2008. Proceedings of the Design, automation and test in Europe, 2008, DATE ’08, Munich, Germany, 10 - 14 March 2008, xlii, IEEE Service Center
An Interface for a Decentralized 2d-Reconfiguration on Xilinx Virtex-FPGAs for Organic Computing
Schuck, C.; Haetzer, B.; Becker, J.
2008. Workshop Proceedings / 4th International Workshop on Reconfigurable, Communication Centric System-on-Chips (ReCoSoC), July 9-11, 2008, Barcelona, Spain, Barcelona
Dynamic Reconfiguration of Nano Architectures using Application Independent Fault Detection
Niknahad, M.; Schuck, C.; Huebner, M.; Becker, J.
2008. Second AETHER - MORPHEUS Workshop- Autumn School ’From Reconfigurable to Self - Adaptive Computing’ (AMWAS’08), October 7-9, 2008, Lugano, Switzerland, Lugano
Depth First Traversal Algorithm for Efficient Build-in Self-Test in Nano Fabrics
Niknahad, M.; Becker, J.
2008. Workshop Proceedings / 4th International Workshop on Reconfigurable, Communication Centric System-on-Chips (ReCoSoC), July 9-11, 2008, Barcelona, Spain, 4, Barcelona
An Exploitation of Reconfigurable Hardware Architectures for Car-to-Car Communication Considering Automotive
Sander, O.; Glas, B.; Becker, J.; Mueller-Glaser, K. D.
2008. FISITA 2008 - 32nd World Automotive Congress, 14 - 19 September 2008, Munich, Germany; 1 CD-Rom, München
A Hardware/Software Codesign of a Co-processor for Real-Time Hyperelliptic Curve Cryptography on a Spartan3 FPGA
Klimm, A.; Sander, O.; Becker, J.; Subileau, S.
2008. Architecture of Computing Systems - ARCS 2008 : 21st International Conference, February 25-28, 2008, Dresden, Germany. Ed.: U. Brinkschulte, 188–201, Springer Verlag
FPGA Based Stepper Motor Control Function Exploiting Run-Time Reconfiguration
Dahm, N.; Huebner, M.; Becker, J.
2008. Workshop Proceedings / 4th International Workshop on Reconfigurable Communication Centric System-on-Chips (ReCoSoC), July 9-11, 2008, Barcelona, Spain, 4, Barcelona
Efficient Resource Estimation During Mass Casualty Emergency Response Based on a Location Aware Disaster Aid Network
Chandra-Sekaran, A.; Flaig, G.; Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2008. Wireless sensor networks - 5th European Conference (EWSN 2008), January 30 - February 1, 2008, Bologna, Italy. Ed.: R. Verdone, 205–220, Springer Verlag
A wireless Sensor-Network Based system for Emergency Response to Crisis
Chandra-Sekaran, A.
2008. Proceedings des gemeinsamen Workshops der Graduiertenkollegs 2008, 19. - 21. Mai 2008, Dagstuhl. Hrsg.: M. Diehl, 44, GITO Verlag
Exploitation of dynamic and partial hardware reconfiguration for on-line power/performance optimization
Paulsson, K.; Huebner, M.; Becker, J.
2008. FPL 2008 - International Conference on Field Programmable Logic and Applications, 8 - 10 Sept. 2008, Heidelberg, Germany. Ed.: U. Kebschull, 699–700, Institute of Electrical and Electronics Engineers (IEEE)
Data path driven waveform-like reconfiguration
Braun, L.; Paulsson, K.; Kromer, H.; Huebner, M.; Becker, J.
2008. International Conference on Field Programmable Logic and Applications (FPL 2008), 8-10 Sept. 2008, Heidelberg, Germany, 607–610, IEEE Computer Society
A multi-platform controller allowing for maximum Dynamic Partial Reconfiguration throughput
Claus, C.; Zhang, B.; Stechele, W.; Braun, L.; Huebner, M.; Becker, J.
2008. FPL 2008 - International Conference on Field Programmable Logic and Applications, 8 - 10 September 2008, Heidelberg, Germany. Ed.: U. Kebschull, 535–538, Institute of Electrical and Electronics Engineers (IEEE)
New dimensions for multiprocessor architectures: On demand heterogeneity, infrastructure and performance through reconfigurability - the RAMPSoC approach
Goehringer, D.; Huebner, M.; Perschke, T.; Becker, J.
2008. FPL 2008 - International Conference on Field Programmable Logic and Applications, 8 - 10 Sept. 2008, Heidelberg, Germany. Ed.: U. Kebschull, 495–498, Institute of Electrical and Electronics Engineers (IEEE)
SPP1148 booth: Coarse-grained reconfiguration
Thomas, A.; Becker, J.; et al.
2008. FPL 2008 - International Conference on Field Programmable Logic and Applications, 8 - 10 September 2008, Heidelberg, Germany. Ed.: U. Kebschull, 349–349, Institute of Electrical and Electronics Engineers (IEEE)
SPP1148 booth: Fine grain reconfigurable architectures
Braun, L.; Schwalb, T.; Graf, P.; Hübner, M.; Becker, J.; et al.
2008. International Conference on Field Programmable Logic and Applications (FPL 2008), 8-10 Sept. 2008, Heidelberg, Germany, 348–348, Institute of Electrical and Electronics Engineers (IEEE)
ZigBee Sensor Network for Patient Localization and Air Temperature Monitoring During Emergency Response to Crisis
Chandra-Sekaran, A.; Nwokafor, A.; Johansson, P.; Mueller-Glaser, K. D.; Krueger, I.
2008. SENSORCOMM ’08 - Second International Conference on Sensor Technologies and Applications, 25 - 31 August 2008, Cap Esterel, France, 233–238, IEEE Computer Society
System for Body and Mind Monitoring in coaching process
Gharbi, A.; Hey, S.; Jatoba, L.; Grossmann, U.; Ottenbacher, J.; Kuncoro, C.; Stork, W.; Mueller-Glaser, K. D.
2008. ISSS-MDBS 2008 - 5th International Summer School and Symposium on Medical Devices and Biosensors, 1- 3 June 2008, Hong Kong, China, 89–91, Institute of Electrical and Electronics Engineers (IEEE)
Retargeting, Evaluating, and Generating Reconfigurable Array-Based Architectures
Morra, C.; Cardoso, J. M. P.; Bispo, J.; Becker, J.
2008. SASP 2008 - IEEE Symposium on Application Specific Processors, 8 - 9 June 2008, Anaheim, CA, 34–41, Institute of Electrical and Electronics Engineers (IEEE)
A Web Server Based Edge Detector Implementation in FPGA
Shukla, S.; Bergmann, N. W.; Becker, J.
2008. ISVLSI 2008 - IEEE Computer Society Annual Symposium on VLSI, 7 - 9 April 2008, Montpellier, France, 441–446, IEEE Computer Society
Exploitation of the External JTAG Interface for Internally Controlled Configuration Readback and Self-Reconfiguration of Spartan 3 FPGAs
Paulsson, K.; Viereck, U.; Huebner, M.; Becker, J.
2008. ISVLSI ’08 - IEEE Computer Society Annual Symposium on VLSI, 7 - 9 April 2008, Montpellier, France, 304–309, IEEE Computer Society
Adaptive Reliable Chips - Reconfigurable Computing in the Nano Era
Becker, J.
2008. IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2008), 7-9 April 2008, Montpellier, France, 1–2, IEEE Computer Society
A Prototype of Trusted Platform Functionality on Reconfigurable Hardware for Bitstream Updates
Glas, B.; Klimm, A.; Schwab, D.; Mueller-Glaser, K. D.; Becker, J.
2008. RSP 2008 - The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, 2 - 5 June 2008, Monterey, California, 135–141, Institute of Electrical and Electronics Engineers (IEEE)
A self adaptive interfacing concept for consumer device integration into automotive entities
Glas, B.; Klimm, A.; Sander, O.; Mueller-Glaser, K. D.; Becker, J.
2008. IPDPS 2008 - IEEE International Symposium on Parallel and Distributed Processing, 14 - 18 April 2008, Miami, Florida, USA, 1–6, Institute of Electrical and Electronics Engineers (IEEE)
An adaptive and scalable multiprocessor system For Xilinx FPGAs using minimal sized processor cores
Klimm, A.; Braun, L.; Becker, J.
2008. IPDPS 2008 - IEEE International Symposium on Parallel and Distributed Processing, 14 - 18 April 2008, Miami, Florida, USA, 1–7, Institute of Electrical and Electronics Engineers (IEEE)
A framework for dynamic 2D placement on FPGAs
Schuck, C.; Kuehnle, M.; Huebner, M.; Becker, J.
2008. IPDPS 2008 - IEEE International Symposium on Parallel & Distributed Processing, 14 - 18 April 2008, Miami, FL, 1–7, IEEE Service Center
Run-time reconfigurable adaptive multilayer network-on-chip for FPGA-based systems
Huebner, M.; Braun, L.; Goehringer, D.; Becker, J.
2008. IPDPS 2008 - IEEE International Symposium on Parallel and Distributed Processing, 14 - 18 April 2008, Miami, Florida, USA, 1–6, Institute of Electrical and Electronics Engineers (IEEE)
Runtime adaptive multi-processor system-on-chip: RAMPSoC
Goehringer, D.; Huebner, M.; Schatz, V.; Becker, J.
2008. IPDPS 2008 - IEEE International Symposium on Parallel and Distributed Processing, 14 - 18 April 2008, Miami, Florida, USA, 1–7, Institute of Electrical and Electronics Engineers (IEEE)
UNISENS - Ein universelles Datenformat für Multisensordaten
Kirst, M.; Ottenbacher, J.; Nedkov, R.
2008. Tagungsband Biosignalverarbeitung - Innovation bei der Erfassung und Analyse bioelektrischer und biomagnetischer Signale, 16. - 18.07.2008, Potsdam, Germany. Hrsg.: H. Mahlberg, 106–108, Potsdam
STUD2COMM - RP-design of an embedded system in education based on a process assessment model
Kuehnle, M.; Hillenbrand, M.; Becker, J.; Mueller-Glaser, K. D.
2008. RC education 2008 - The 3rd International Workshop on Reconfigurable Computing Education, April 10, 2008, Montpellier, France, Montpellier
Reliable Motion Artifact Detection for ECG Monitoring Systems with Dry Electrodes
Ottenbacher, J.; Kirst, M.; Jatoba, L.; Huflejt, M.; Grossmann, U.; Stork, W.
2008. EMBC ’08 - 30th annual international conference of the IEEE Engineering in Medicine and Biology Society, 20 August - 24 August 2008, Vancouver, Canada. Ed.: G. Dumont, 1695–1698, IEEE Service Center
Artefakterkennung für Ambulante EKG-Monitoring-Systeme
Ottenbacher, J.; Kirst, M.; Jatoba, L.; Grossmann, U.; Huflejt, M.
2008. Tagungsband Biosignalverarbeitung - Innovation bei der Erfassung und Analyse bioelektrischer und biomagnetischer Signale, 16. - 18.07.2008, Potsdam, Germany. Hrsg.: H. Mahlberg, 52–54, Potsdam
Towards Novel Approaches in Design Automation for FPGA Power Optimization
Becker, J.; Huebner, M.; Paulsson, K.; Esser, R.; Noguera, J.
2008. Integrated circuit and system design - power and timing modeling, optimization and simulation : 18th International Workshop, PATMOS 2008, September 10 - 12, 2008, Lisbon, Portugal; revised selected papers. Ed.: L. Svensson, 419–428, Springer Verlag
A systems engineering laboratory in the context of the "Bologna Process"
Kuehnle, M.; Hillenbrand, M.; Becker, J.; Mueller-Glaser, K. D.
2008. Poster Session / EWME 2008 - 7th European Workshop on Microelectronics Education, May 28 - 30, 2008, Budapest, Hungary, 30 Folien, Budapest
Posters
Artefakterkennung für Ambulante EKG-Monitoring-Systeme
Ottenbacher, J.; Kirst, M.; Jatoba, L.; Grossmann, U.; Huflejt, M.
2008. Biosignalverarbeitung : Innovation bei der Erfassung und Analyse bioelektrischer und biomagnetischer Signale, Universität Potsdam, 16. - 18.07.2008, Tagungsband, [Workshop Biosingalverarbeitung 2008]
2007
Book Chapters
Effizientes Testen durch optimierte Prozesse
Baero, T.; Hagel, J.; Sax, E.
2007. E-&-E-Kompendium, Publish Industry Verl
Exploitation of Run-TIme Partial Reconfiguration for Dynamic Power Management in Xilinx Spartan III-based Systems
Paulsson, K.; Huebner, M.; Bayar, S.; Becker, J.
2007. Presentation / ReCoSoc2007 - Reconfigurable, Communication Centric System-on-Chips, June 18 - 20, Montpellier, France, Montpellier
Journal Articles
Modellbasierte Antriebsstrangentwicklung : Durchgängige Werkzeugkette vom Entwurf bis zur Validierung
Albers, A.; Müller-Glaser, K.; Schyr, C.; Kühl, M.
2007. Automobiltechnische Zeitschrift, 109 (2), 134–139. doi:10.1007/BF03221865
Gaining Insight into Executable Models during Runtime: Architecture and Mappings
Graf, P.; Mueller-Glaser, K. D.
2007. IEEE Distributed Systems Online, 8 (3), 1–1
Dynamic and Partial FPGA Exploitation
Becker, J.; Huebner, M.; Hettich, G.; Constapel, R.; Eisenmann, J.; Luka, J.
2007. Proceedings of the IEEE, 95 (2), 438–452
The Erlangen Slot Machine - A Platform for Interdisciplinary Research in Reconfigurable Computing
Angermeier, J.; Goehringer, D.; Majer, M.; Teich, J.; Fekete, S.; Veen, J. van der
2007. it - Information Technology, 49 (3), 143–148
Methode zur automatischen Artefakterkennung im EKG mit Hilfe eines Beschleunigungssensors
Kirst, M.; Ottenbacher, J.; Schaefer, D.; Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2007. Biomedizinische Technik, 52 (Erg.-Bd.)
Conference Papers
Efficient testing by means of test process improvement
Sax, E.; Hagel, J.
2007. Proceedings of the 13. Internationaler Kongress: Elektronik im Kraftfahrzeug / Electronic Systems for Vehicles, Tagung Baden-Baden, 10. - 11. Oktober 2007, 583–592, VDI Verlag
Exploitation of Run-TIme Partial Reconfiguration for Dynamic Power Management in Xilinx Spartan III-based Systems
Paulsson, K.; Huebner, M.; Bayar, S.; Becker, J.
2007. Proceedings of the International Workshop on Reconfigurable Communication-centric System-on-Chip, June 18th-20th, Montpellier, France
Entwurf und Realisierung eines mobilen Beschleunigungsmesssystems mit energieeffizienter drahtloser Datenübertragung
Jatoba, L.; Riedeling, D.; Grossmann, U.; Ottenbacher, J.
2007. Konferenzbeiträge / IFM 2007 : Winterthur, 12./ 13.09.07 / Hrsg.: ZHAW, Institut für Mechatronische Systeme
Modulares Systemkonzept fur einen FPGA basierten Automotive Gateway = Modular system concept for FPGA-based Automotive Gateway
Becker, J.; Huebner, M.; Sander, O.; Dreschmann, M.; Luka, J.; Traub, M.; Weber, T.
2007. Proceedings of the 13. Internationaler Kongress Elektronik im Kraftfahrzeug, Tagung Baden-Baden, 10. und 11. Oktober 2007, 223–232, VDI Verlag
Security for Mobile Low Power Nodes in a Personal Area Network by Means of Trusted Platform Modules
Stork, W.; Mueller-Glaser, K. D.; Grossmann, U.; Berkhan, E.; Jatoba, L.; Ottenbacher, J.
2007. Security and privacy in ad-hoc and sensor networks, 4th European Workshop, ESAS 2007, Cambridge, UK, July 2 - 3, 2007, proceedings. Ed.: F. Stajano, 172–186, Springer Verlag
New tool support and architectures in adaptive reconfigurable computing
Huebner, M.; Becker, J.; Donlin, A.
2007. Proceedings of the 2007 IFIP International Conference on Very Large Scale Integration, Atlanta, GA, 15 - 17 October 2007, 134–139, IEEE Service Center
A Graphical Model-Level Debugger for Heterogenous Reconfigurable Architectures
Graf, P.; Huebner, M.; Mueller-Glaser, K. D.; Becker, J.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 722–725, IEEE Operations Center
Circuit Switched Run-Time Adaptive Network-on-Chip for Image Processing Applications
Braun, L.; Huebner, M.; Becker, J.; Perschke, T.; Schatz, V.; Bach, S.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27-29 Aug. 2007, Amsterdam, The Netherlands. Ed.: K. Bertels, 688–691, IEEE Operations Center
H. 264 Decoder at HD Resolution on a Coarse Grain Dynamically Reconfigurable Architecture
Ganesan, M. K. A.; Singh, S.; May, F.; Becker, J.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 467–471, IEEE Operations Center
On-Line Routing of Reconfigurable Functions for Future Self-Adaptive Systems - Investigations within the AETHER Project
Paulsson, K.; Huebner, M.; Becker, J.; Philippe, J.-M.; Gamrat, C.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 415–422, IEEE Operations Center
MORPHEUS: Heterogeneous Reconfigurable Computing
Thoma, F.; Kuehnle, M.; Bonnot, P.; Panainte, E. M.; Bertels, K.; Goller, S.; Schneider, A.; Schuler, E.; Mueller-Glaser, K. D.; Becker, J.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 409–414, IEEE Operations Center
artNoC - A Novel Multi-Functional Router Architecture for Organic Computing
Schuck, C.; Lamparth, S.; Becker, J.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 371–376, IEEE Operations Center
Implementation of a Virtual Internal Configuration Access Port (JCAP) for Enabling Partial Self-Reconfiguration on Xilinx Spartan III FPGAs
Paulsson, K.; Huebner, M.; Auer, G.; Dreschmann, M.; Chen, L.; Becker, J.
2007. FPL 2007 - International Conference on Field Programmable Logic and Applications, 27 - 29 Aug. 2007, Amsterdam, The Netherlands, 351–356, IEEE Operations Center
Development of a Self-Constructing Neuro-Fuzzy Inference System for Online Classification of Physical Movements
Jatoba, L.; Grossmann, U.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2007. 9th International Conference on e-Health Networking, Application and Services, 19-22 June 2007, Taipei, Taiwan, 332–335, Institute of Electrical and Electronics Engineers (IEEE)
Nonintrusive Black- and White-Box Testing of Embedded Systems Software against UML Models
Graf, P.; Mueller-Glaser, K. D.; Reichmann, C.
2007. RSP 2007 - 18th IEEE/IFIP International Workshop on Rapid System Prototyping, 28 - 30 May 2007, Porto Alegre, RS, Brazil, 130–138, Institute of Electrical and Electronics Engineers (IEEE)
High-Level Synthesis of HW Tasks Targeting Run-Time Reconfigurable FPGAs
Boden, M.; Fiebig, T.; Meissner, T.; Rulke, S.; Becker, J.
2007. IPDPS 2007 - IEEE International Parallel and Distributed Processing Symposium, 26-30 March 2007, Long Beach, CA, 1–8, Institute of Electrical and Electronics Engineers (IEEE)
QUKU: A FPGA Based Flexible Coarse Grain Architecture Design Paradigm using Process Networks
Shukla, S.; Bergmann, N. W.; Becker, J.
2007. IPDPS 2007 - IEEE International Parallel and Distributed Processing Symposium, 26-30 March 2007, Long Beach, CA, 1–7, Institute of Electrical and Electronics Engineers (IEEE)
A General Purpose Partially Reconfigurable Processor Simulator (PReProS)
Brito, A. V.; Kuehnle, M.; Melcher, E. U. K.; Becker, J.
2007. IPDPS 2007 - IEEE International Parallel and Distributed Processing Symposium, 26-30 March 2007, Long Beach, CA, 1–7, Institute of Electrical and Electronics Engineers (IEEE)
Using Rewriting Logic to Match Patterns of Instructions from a Compiler Intermediate Form to Coarse-Grained Processing Elements
Morra, C.; Cardoso, J. M. P.; Becker, J.
2007. IPDPS 2007 - IEEE International Parallel and Distributed Processing Symposium, 26-30 March 2007, Long Beach, CA, 1–8, Institute of Electrical and Electronics Engineers (IEEE)
Communication Architectures for Dynamically Reconfigurable FPGA Designs
Pionteck, T.; Albrecht, C.; Koch, R.; Maehle, E.; Huebner, M.; Becker, J.
2007. IPDPS 2007 - IEEE International Parallel and Distributed Processing Symposium, 26-30 March 2007, Long Beach, CA, 1–8, Institute of Electrical and Electronics Engineers (IEEE)
Modelling and Simulation of Dynamic and Partially Reconfigurable Systems using SystemC
Brito, A. V.; Kuehnle, M.; Huebner, M.; Becker, J.; Melcher, E. U. K.
2007. ISVLSI 2007 - IEEE Computer Society Annual Symposium on VLSI, 9-11 March 2007, Porto Alegre, Brazil, 35–40, IEEE Computer Society
The Erlangen Slot Machine: A flexible FPGA-platform for partially reconfigurable applications at run-time
Angermeier, J.; Goehringer, D.; Majer, M.; Teich, J.
2007. ARCS 2007 - 20th International Conference on Architecture of Computing Systems, March 12-15, 2007, Zurich, Switzerland; Tutorial, Zürich
Scheduling and communication-aware mapping of HW-SW modules for dynamically and partially reconfigurable SoC architectures
Fekete, S.; Veen, J. van der; Angermeier, J.; Goehringer, D.; Majer, M.; Teich, J.
2007. Proceedings of the Dynamically Reconfigurable Systems Workshop (DRS 2007), March 15th, 2007, Zurich, Switzerland, 151–160, Zürich
Optically Powered Video Camera Link
Boettger, G.; Huebner, M.; Klamouris, C.; Dreschmann, M.; Bett, A. W.; Becker, J.; Freude, W.; Leuthold, J.; Roeger, M.
2007. ECOC 07 - 33rd European Conference and Exhibition on Optical Communication, September 16 - 20, 2007, Berlin, Germany, VDE Verlag
Physical Configuration On-Line Visualization of Xilinx Virtex-II FPGAs
Huebner, M.; Braun, L.; Becker, J.
2007. ISVLSI 2007 - IEEE Computer Society Annual Symposium on VLSI, 09 - 11 May 2007, Porto Alegre, Brazil. Ed.: J. Becker, 41–46, IEEE Computer Society
Obtaining Energy Expenditure and Physical Activity from Acceleration Signals for Context-aware Evaluation of Cardiovascular Parameters
Jatoba, L.; Grossmann, U.; Ottenbacher, J.; Haertel, S.; Haaren, B. von; Stork, W.; Mueller-Glaser, K. D.; Boes, K.
2007. IV Latin American Congress on Biomedical Engineering 2007, Bioengineering Solutions for Latin America Health, September 24 - 28th, 2007, Margarita Island, Venezuela, Part 3. Ed.: C. Müller-Karger, 475–479, Springer Verlag
Including Physical Activity Information into Cardiac Diagnostic Systems
Jatoba, L.; Klein, T.; Stork, W.; Mueller-Glaser, K. D.
2007. Proceedings / BMT 2007 - 41. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik (DGBMT) im VDE, 26.-29.09.2007, Aachen, Germany; 1 CD-Rom, De Gruyter
Entwurf und Realisierung eines mobilen Beschleunigungsmesssystems mit energieeffizienter drahtloser Datenübertragung
Jatoba, L.; Riedeling, D.; Grossmann, U.; Ottenbacher, J.
2007. Konferenzbeiträge / IFM 2007, Internationales Forum Mechatronik, 12./ 13.09.07, Winterthur, Schweiz [Proceedings], Winterthur
An approach to reliable motion artifact detection for mobile long-term ECG monitoring systems using dry electrodes
Ottenbacher, J.; Kirst, M.; Jatoba, L.; Grossmann, U.; Stork, W.
2007. IV Latin American Congress on Biomedical Engineering 2007, Bioengineering Solutions for Latin America Health, September 24th - 28th, 2007, Margarita Island, Venezuela, Part 3. Ed.: C. Müller-Karger, 440–443, Springer Verlag
A System-on-Chip Audio Decoder - a Project oriented SoC Design for Education
Kuehnle, M.; Koenig, R.; Thoma, F.; Becker, J.
2007. First AETHER - MORPHEUS Workshop - Autumn School ’From Reconfigurable to Self - Adaptive Computing’ (AMWAS’07), October 8-11, 2007, Paris, France, Paris
A Mixed-Signal System-on-Chip Audio Decoder Design for Education
Koenig, R.; Thomas, A.; Kuehnle, M.; Becker, J.; Crocoll, E.; Siegel, M.
2007. RC Education - 2nd International Workshop on Reconfigurable Computing Education, May 12, 2007, Porto Allegre, Brasil, Porto Allegre
2006
Book Chapters
Domain specific model driven design for automotive electronic control units
Mueller-Glaser, K. D.
2006. DATE 2006 - Design, automation and test in Europe, 6 - 10 March 2006, Munich, Germany; Vol. 1. Ed.: K. Preas, 1 S., Institute of Electrical and Electronics Engineers (IEEE)
PhD Theses
Journal Articles
Automated testing of a roof control module
Müller, C.; Hartmann, N.; Sax, E.; Holzenkamp, C.
2006. ATZ worldwide, 108 (11), 12–14. doi:10.1007/BF03224893
Automatisiertes Testen von Steuergeräten der Dachbedieneinheit
Müller, C.; Hartmann, N.; Sax, E.; Holzenkamp, C.
2006. Automobiltechnische Zeitschrift, 108 (11), 934–938. doi:10.1007/BF03221832
Testing electronics systems networks
Holzenkamp, C.; Sax, E.; Walliser, D.
2006. ATZ worldwide, 1 (4), 11–13
Testen vernetzter Elektroniksysteme
Holzenkamp, C.; Sax, E.; Walliser, D.
2006. ATZ-Elektronik, 1 (4), 26–31. doi:10.1007/BF03223836
Dynamic and Partial FPGA Self-Reconfiguration Using Real-Time LUT-Based Network-On-Chip Adaptive Topologies for Xilinx FPGAs
Huebner, M.; Becker, J.
2006. Journal Integrated Circuits and Systems, 1 (4), 43–53
Bridging the Gap between Relocatability and Available Technology: The Erlangen Slot Machine
Goehringer, D.; Majer, M.; Teich, J.
2006. Dagstuhl Seminar Proceedings, 06141, 5/1–11
Trusted Platform Module - Technologie für embedded Security-Anwendungen
Heins, K.; Grossmann, U.
2006. Elektronik, (9), 74–82
Physical 2D Morphware and Power Reduction Methods for Everyone
Becker, J.; Huebner, M.; Paulsson, K.
2006. Dagstuhl Seminar Proceedings, 06141, 10/1–5
Conference Papers
A Model-Based Design Approach for a Dynamically Configurable Hardware-/Software-Architecture
Graf, P.; Reichmann, C.; Mueller-Glaser, K. D.
2006. Postworkshop proceedings of the OMER 3, Workshop on Object-Oriented Modeling of Embedded Real-Time Systems : 12 - 14 October, 2005, Heinz-Nixdorf-MuseumsForum Paderborn, Germany, 49–58, Heinz-Nixdorf-Inst
Algebraic Cryptosystems and Side Channel Attacks: Braid Groups and DPA
Bohli, J.-M.; Glas, B.; Steinwandt, R.
2006. Proceedings of the 37th Southeastern International Conference on Combinatorics, Graph Theory and Computing, March 6-10, 2006, Boca Raton, Florida, Boca Raton
Towards Provably Secure Group Key Agreement Building on Group Theory
Bohli, J.-M.; Glas, B.; Steinwandt, R.
2006. Progress in Cryptology - VIETCRYPT 2006: First International Conference on Cryptology in Vietnam, September 25 - 28, 2006, Hanoi, Vietnam. Ed.: P. Q. Nguyen, 322–336, Springer Verlag
Xilinx Virtex-II FPGA Design Acceleration Using a Novel Merging Methodology for Partial Configuration Bitstreams
Bieser, C.; Müller-Glaser, K. D.
2006. MWSCAS 2006 - 49th IEEE International Midwest Symposium on Circuits and Systems, 2006, 6 - 9 Aug. 2006, San Juan, Puerto Rico, Vol. 2. Ed.: G. O. Ducoudray Acevedo, 89–93, IEEE Service Center. doi:10.1109/MWSCAS.2006.382215
A Novel FPGA Design Acceleration Methodology Supported by a Unique RP Platform for Fast and Easy System Develpoment
Bieser, C.
2006. FPL 2006 - International Conference on Field Programmable Logic and Applications, 2006, 28 - 30 Aug. 2006, Madrid, Spain, 1–2, IEEE Operations Center
Configware Design Space Exploration Using Rewriting Logic
Morra, C.
2006. FPL 2006 - International Conference on Field Programmable Logic and Applications, 28 - 30 Aug. 2006, Madrid, Spain, 1–2, IEEE Operations Center
A Novel Partial Bitstream Merging Methodology Accelerating Xilinx Virtex-II FPGA Based RP System Setup
Bieser, C.; Bahlinger, M.; Heinz, M.; Stops, C.; Müller-Glaser, K. D.
2006. FPL 2006 - International Conference on Field Programmable Logic and Applications, 28 - 30 Aug. 2006, Madrid, Spain, 1–4, IEEE Operations Center
From Equation to VHDL: Using Rewriting Logic for Automated Function Generation
Morra, C.; Sackmann, M.; Shukla, S.; Becker, J.; Hartenstein, R.
2006. FPL 2006 - International Conference on Field Programmable Logic and Applications, 28 - 30 Aug. 2006, Madrid, Spain, 1–4, IEEE Operations Center
Evaluation of a Packet Switching Algorithm for Network on Chip Topologies using a Xilinx Virtex-II FPGA based Rapid Prototyping System
Becker, J. E.; Bieser, C.; Becker, J.; Müller-Glaser, K. D.
2006. 2006 IEEE International Symposium on Industrial Electronics, 9-13 July 2006, Montreal, Quebec, Canada; Vol. 4, 3184–3189, Institute of Electrical and Electronics Engineers (IEEE)
A Noise Analysis Based Channel Coding Technique for Multicarrier Channel of an Industrial PLC System
Hua, Z.; Wu, J.; Mueller-Glaser, K. D.; Simon, O.
2006. IEEE International Symposium on Power Line Communications and Its Applications, 26 - 29 March 2006, Orlando, Florida, 22–26, IEEE Operations Center
Elementary block based 2-dimensional dynamic and partial reconfiguration for Virtex-II FPGAs
Huebner, M.; Schuck, C.; Becker, J.
2006. IPDPS 2006 - 20th International Parallel and Distributed Processing Symposium, 2006, 25 - 29 April 2006, Rhodes Island, Greece, 8 S., IEEE Service Center
Communication concept for adaptive intelligent run-time systems supporting distributed reconfigurable embedded systems
Ullmann, M.; Becker, J.
2006. IPDPS 2006 - 20th International Parallel and Distributed Processing Symposium, 25 - 29 April 2006, Rhodes Island, Greece, 8 S., IEEE Service Center
A high-level target-precise model for designing reconfigurable HW tasks
Boden, M.; Rulke, S.; Becker, J.
2006. IPDPS 2006 - 20th International Parallel and Distributed Processing Symposium, 2006, 25 - 29 April 2006, Rhodes Island, Greece, 8 S., IEEE Service Center
Strategies to On- Line Failure Recovery in Self- Adaptive Systems based on Dynamic and Partial Reconfiguration
Paulsson, K.; Huebner, M.; Becker, J.
2006. First NASA/ESA Conference on Adaptive Hardware and Systems, 15 - 18 June 2006, Istanbul, Turkey. Ed.: A. Stoic, 288–291, IEEE Computer Society
Rapid Prototyping Design Acceleration Using a Novel Merging Methodology for Partial Configuration Streams of Xilinx Virtex-II FPGAs
Bieser, C.; Mueller-Glaser, K. D.
2006. Proceedings / Seventeenth IEEE International Workshop on Rapid System Prototyping, shortening the path from specification to prototype, 14 - 16 June 2006, Chania, Crete, Greece, 193–199, IEEE Computer Society
Dynamic Mapping of Runtime Information Models for Debugging Embedded Software
Graf, P.; Mueller-Glaser, K. D.
2006. RSP 2006 - 17h IEEE International Workshop on Rapid System Prototyping, 2006, 14 - 16 June 2006, Chania, Crete, 3–9, Institute of Electrical and Electronics Engineers (IEEE)
Dynamic hardware multiplexing: improving adaptability with a run time reconfiguration manager
Becker, J.; Benoit, P.; Torres, L.; Sassatelli, G.; Robert, M.; Cambon, G.
2006. IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2006, 2 - 3 March 2006, Karlsruhe, Germany. Ed.: J. Becker, 6 S., IEEE Computer Society
Methods for run-time failure recognition and recovery in dynamic and partial reconfigurable systems based on Xilinx Virtex-II Pro FPGAs
Paulsson, K.; Huebner, M.; Jung, M.; Becker, J.
2006. IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2 - 3 March 2006, Karlsruhe, Germany. Ed.: J. Becker, 6 S., IEEE Computer Society
QUKU: a two-level reconfigurable architecture
Shukla, S.; Bergmann, N. W.; Becker, J.
2006. IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2 - 3 March 2006, Karlsruhe, Germany. Ed.: J. Becker, 6 S., IEEE Computer Society
New 2-dimensional partial dynamic reconfiguration techniques for real-time adaptive microelectronic circuits
Huebner, M.; Schuck, C.; Kuehnle, M.; Becker, J.
2006. Proceedings / IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2 - 3 March 2006, Karlsruhe, Germany, 6 S., IEEE Computer Society
Realization of Real-Time Control Flow Oriented Automotive Applications on a Soft-core Multiprocessor System based on Xilinx Virtex II FPGAs
Paulsson, K.; Hübner, M.; Zou, H.; Becker, J.
2006. Proceedings of the International Workshop on Applied Reconfigurable Computing (ARC ’05), February 22 - 23, 2005, Algarve, Portugal, 103–110, Taylor and Francis
Using Rewriting Logic to Generate Differrent Implementations of Polynomial Approximations in Coarse-Grained Architectures
Morra, C.; Sackmann, M.; Becker, J.; Hartenstein, R.
2006. Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip ( ReCoSoC), July 2006, Montpellier, France. Ed.: G. Sassatelli, 46–51, Univ. Montpellier II
Using Adaptive Neuro-Fuzzy Inference System for Online Classification of Movement Patterns
Jatoba, L.; Grossmann, U.; Huang, G.; Romo, L.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2006. Proceedings / Gemeinsame Jahrestagung der Deutschen, Österreichischen und Schweizerischen Gesellschaften für Biomedizinische Technik, 06. - 09.09.2006, ETH Zürich, Schweiz; 1 CD-Rom, De Gruyter
Towards a Self-Organizing Hospital Area Network
Brinkschulte, U.; Mueller-Glaser, K. D.; Stork, W.; Chandra-Sekaran, A.; Picioroaga, F.
2006. World Congress of Medical Physics and Biomedical Engineering 2006, August 27 - Septmber 1, 20006, Seoul, Korea - Part 28. Ed.: S. I. Kim, 3984–3987, Springer Verlag
Teleschmerztherapie mit fernsteuerbaren Infusionspumpen
Grossmann, U.; Schiessl, C.; Jatoba, L.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2006. Proceedings / Gemeinsame Jahrestagung der Deutschen, Österreichischen und Schweizerischen Gesellschaften für Biomedizinische Technik, 06. - 09.09.2006, ETH Zürich, Schweiz; 1 CD-Rom, De Gruyter
Securely control Infusion Pumps via Internet for efficient Remote Therapy of Pain
Grossmann, U.; Schiessl, C.; Jatoba, L.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2006. World Congress of Medical Physics and Biomedical Engineering 2006, August 27 - September 1, 20006, Seoul, Korea, Part 6. Ed.: S. I. Kim, 421–423, Springer Verlag
Physical-Activity as Context-Information for Long-term Monitoring of Cardiovascular Patients
Jatoba, L.; Grossmann, U.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2006. World Congress of Medical Physics and Biomedical Engineering, August 27 - September 1, 2006, Seoul, Korea, Part 7. Ed.: S. I. Kim, 699–702, Springer Verlag
Optically Powered Video Camera Network
Boettger, G.; Huebner, M.; Dreschmann, M.; Klamouris, C.; Paulsson, K.; Kueng, T.; Bett, A. W.; Becker, J.; Freude, W.; Leuthold, J.
2006. Kommunikationskabelnetze - Vorträge der 13. ITG-Fachtagung, 12. bis 13. Dezember 2006, Köln, Germany, 123–124, VDE Verlag
Mobiles dauerhaftes EKG Monitoring
Ottenbacher, J.; Jatoba, L.; Grossmann, U.; Stork, W.; Mueller-Glaser, K. D.
2006. Proceedings / Gemeinsame Jahrestagung der Deutschen, Österreichischen und Schweizerischen Gesellschaften für Biomedizinische Technik, 06. - 09.09.2006, ETH Zürich, Schweiz; 1 CD-Rom, De Gruyter
ECG electrodes for a context-aware cardiac permanent monitoring system
Ottenbacher, J.; Jatoba, L.; Grossmann, U.; Stork, W.; Mueller-Glaser, K. D.
2006. World Congress on Medical Physics and Biomedical Engineering, August 27- September 1, 2006, Seoul, Korea. Ed.: S. I. Kim, 672–675, Springer Verlag
Eine Architektur für die modellbasierte Fehlersuche in der Software eingebetteter Systeme
Graf, P.; Mueller-Glaser, K. D.
2006. Modellierung 2006 - Workshop: Modellbasierte Entwicklung von eingebetteten Fahrzeugfunktionen, 21. - 24. März 2006, Innsbruck, Innsbruck
Tutorial on Macro Design for Dynamic and Partially Reconfigurable Systems
Huebner, M.; Becker, J.
2006. RC-Education 2006 - 1st International Workshop on Reconfigurable Computing Education March 1, 2006, Karlsruhe, Germany, Universität Karlsruhe (TH)
Seamless Design Flow for Run-Time Reconfigurable Automotive Systems
Huebner, M.; Becker, J.
2006. DATE 2006 - Design, Automation & Test in Europe Conference & Exhibition, 6-10 March 2006, Munich, Germany, Institute of Electrical and Electronics Engineers (IEEE)
On-Line Optimization of FPGA Power-Dissipation by Exploiting Run-time Adaption of Communication Primitives
Paulsson, K.; Huebner, M.; Becker, J.
2006. Chip on the mountains: SBCCI 2006 - Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, August 28 - September 1, 2006, Ouro Preto, MG, Brazil, Association for Computing Machinery (ACM)
Novel HW/SW Design Methodologies for Ad-Hoc Sensor Networks in Future Applications
Chandra-Sekaran, A.; Sander, O.; Paulsson, K.; Huebner, M.; Becker, J.; Mueller-Glaser, K. D.
2006. Proceedings of the 8th International Workshop on Computer Science and Information Technologies (CSIT), 28-29 September, 2006, Karlsruhe, Germany, Universität Karlsruhe (TH)
Run-time Reconfigurabilility and other Future Trends
Becker, J.; Huebner, M.
2006. Chip on the mountains: SBCCI 2006 - Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, August 28 - September 1, 2006, Ouro Preto, MG, Brazil, 9–11, Association for Computing Machinery (ACM)
Exploiting Dynamic and Partial Reconfiguration for FPGAs - Toolflow, Architecture and System Integration
Huebner, M.; Becker, J.
2006. Chip on the mountains: SBCCI 2006 - Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, August 28 - September 1, 2006, Ouro Preto, MG, Brazil, 1–4, Association for Computing Machinery (ACM)
Optically powered platform with Mb/s transmission over a single fiber
Klamouris, C.; Boettger, G.; Huebner, M.; Dreschmann, M.; Paulsson, K.; Kueng, T.; Becker, J.; Freude, W.; Leuthold, J.
2006. ECOC 2006 - 32nd European Conference on Optical Communication, 24-28 September, Cannes, France; 1 CD-Rom, 461–462, SEE
Dynamically Reconfigurable Hardware: "A promising Way to On-Line Diagnosis, Fault-Tolerance and Reliability
Becker, J.; Huebner, M.
2006. ECSIS Symposium on Intelligent Systems for Defense and Security (ISDS) part of the 4th European Conference on Intelligent Systems and Technologies (ECIT), September 20-21, 2006, Iasi, Romani, Iasi
Run-Time FPGA Reconfiguration for Power-/Cost-Optimized Real-time Systems
Becker, J.; Huebner, M.; Ullmann, M.
2006. VLSI-SOC: From Systems to Chips - Twelfth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2003), December 1 - 3, 2003, Darmstadt, Germany. Ed.: M. Glesner, 119–132, Springer US
Asynchronous Integration of Coarse-Grained Reconfigurable XPP-Arrays Into Pipelined Risc Processor Datapath
Becker, J.; Thomas, A.; Scheer, M.
2006. VLSI-SOC: From Systems to Chips - Twelfth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC 2003), December 1 - 3, 2003, Darmstadt, Germany. Ed.: M. Glesner, 263–279, Springer US
2005
Book Chapters
Towards a Platform for Debugging Executed UML-Models in Embedded Systems
Graf, P.; Reichmann, C.; Mueller-Glaser, K. D.
2005. UML Modeling Languages and Applications - UML 2004 Satellite Activities, October 11-15, 2004, Lisbon, Portugal. Ed.: N. J. Nunes, 238–241, Springer Verlag
Automotive Control Unit Optimisation Perspectives: Body Functions on-Demand by Dynamic Reconfiguration
Becker, J.; Hübner, M.; Müller-Glaser, K. D.; Constapel, R.; Luka, J.; Eisenmann, J.
2005. DATE 2005 - Design, Automation and Test in Europe, 7 - 11 March 2005, Munich, Germany, IEEE Computer Society
Hardware Support for QoS-based Function Allocation in Reconfigurable Systems
Ullmann, M.; Jin, W.; Becker, J.
2005. DATE 2005 - Design, Automation and Test in Europe, 7 - 11 March 2005, Munich, Germany; Vol. 3. Ed.: N. Wehn, 259–264, IEEE Computer Society
Journal Articles
Scalable processor instruction set extension
Becker, J.; Thomas, A.
2005. IEEE Design & Test of Computers, 22 (2), 136–148
Rekonfigurierbare Hardware und intelligente Laufzeitsysteme für adaptives Rechnen
Ullmann, M.; Becker, J.; Braendle, K.
2005. it - Information Technology, 47 (4), 201–206
MultiCAN@USB2.0 - Viele CAN Schnittstellen am USB-Port
Bieser, C.
2005. Design & Elektronik, (11)
Imaging Quality of Intraocular Lenses
Rawer, R.; Stork, W.; Spraul, C. W.; Lingenfelder, C.
2005. Journal of Cataract & Refractive Surgery, 31 (8), 1618–1631
Real-time configuration code decompression for dynamic FPGA self reconfiguration: evaluation and implementation
Huebner, M.; Ullmann, M.; Becker, J.
2005. International Journal of Embedded Systems, 1 (3/4), 263–273
Conference Papers
Vergleich der Abbildungsqualität hydrophober Acryl-Intraokularlinsen mit und ohne Blaulichtfilter vor und nach der Injektion durch eine Kartusche
Rawer, R.
2005. Hydrophobe Acryl-Intraokularlinsen mit und ohne Blaulichtfilter. Hrsg.: Susanne Binder, 15–24, Ad Manum Medici
Realization of Real-Time Control Flow Oriented Automotive Applications on a Soft-core Multiprocessor System based on Xilinx Virtex II FPGAs
Paulsson, K.; Huebner, M.; Zou, H.; Becker, J.
2005. Proceedings of the International Workshop on Applied Reconfigurable Computing (ARC ’05), Algarve, Portugal, February, 2005, 103–110
Novel Seamless Design-Flow for Partial and Dynamic Reconfigurable Systems with Customized Communication Structures Based on Xilinx Virtex-II FPGAs
Huebner, M.; Paulsson, K.; Stitz, M.; Becker, J.
2005. 18th International Conference on Architecture of Computing Systems, Workshops, Innsbruck, Austria, March 2005, 39–44, Springer Verlag
Models and Tools for the Dynamic Reconfiguration of FPGAs
Donlin, A.; Huebner, M.; Becker, J.
2005. IEEE International SOC Conference : proceedings, September 25-28, 2005, Hilton Washington Dulles Airport, Herndon, VA. Ed.: Dong Ha ..., 315–316, Institute of Electrical and Electronics Engineers (IEEE)
Hardware Enhanced Function Allocation Management in Reconfigurable Systems
Ullmann, M.; Jin, W.; Becker, J.
2005. Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 156–163, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IPDPS.2005.240
From VHDL Bit-Level Coding up to CASE-Tool Based System Modeling
Bieser, C.; Mueller-Glaser, K. D.; Becker, J.
2005. 2005 IEEE International Conference on Microelectronic Systems Education (MSE ’05) : June 12 - 13, 2005, Anaheim, California, USA, 51–52, Institute of Electrical and Electronics Engineers (IEEE)
Dynamic Reconfiguration On-Demand: Real-time Adaptivity in Next Generation Microelectronics
Huebner, M.; Becker, J.; Paulsson, K.; Thomas, A.
2005. Proceedings of the 1st International Workshop on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2005, Montpellier, France, June 2005, Univ. Montpellier II
Context-aware personal health monitoring using body wearable sensors
Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2005. Medical physics : proceedings of the jointly held congresses ICMP 2005, 14th International Conference of Medical Physics of the International Organization for Medical Physics (IOMP) ... , BMT 2005, 39th Annual Congress of the German Society for Biomedical Engineering (DGBMT) within VDE , 14th - 17th September 2005, Nuremberg, Germany / ed.: W. Kalender ..., Schiele und Schön
Challenges in Design and Production of Micro-Mechatronical Systems
Bieser, C.; Fleischer, J.; Müller-Glaser, K. D.; Volkmann, T.
2005. Micro system technologies 2005 : International Conference & Exhibition on Micro-, Electro-Mechanical, Opto Nano Systems, München, October 5 - 6, 2005, 586–589, Franzis
Automotive Control Unit Optimisation Perspectives: Body Functions on-Demand by Dynamic Reconfiguration
Becker, J.; Huebner, M.; Müller-Glaser, K. D.; Constapel, R.; Luka, J.; Eisenmann, J.
2005. DATE 2005 - Design, Automation and Test in Europe, 7 - 11 March 2005, Munich, Germany ; Vol. 1, Institute of Electrical and Electronics Engineers (IEEE)
An FPGA Based OFDM Communication System for the Contactless Decentralized Transportation
Hua, Z.; Mueller-Glaser, K. D.; Simon, O.
2005. Proceedings of the 8th International Symposium on Power-Line Communications and its Applications, ISPLC 2004 : Zaragoza, March 31 - April, 2, 2004, 4 S., Zaragoza
Energy Model of Networks-on-Chip and a Bus
Wolkotte, P. T.; Smit, G. J. M.; Kavaldjiev, N.; Becker, J. E.; Becker, J.
2005. Proceedings / 2005 International Symposium on System-on-Chip, 15 - 17 Nov. 2005, Tampere, Finland. Ed.: J. Nurmi, 82–85, IEEE Operations Center
Overview of the 4S Project
Smit, G. J. M.; Schuler, E.; Becker, J.; Quevremont, J.; Brugger, W.
2005. Proceedings / 2005 International Symposium on System-on-Chip, 15 - 17 Nov. 2005, Tampere, Finland, 70–73, IEEE Operations Center
Energy efficient NoC for best effort communication
Wolkotte, P. T.; Smit, G. J. M.; Becker, J. E.
2005. FPL 2005 - International Conference on Field Programmable Logic and Applications, 24 - 26 Aug. 2005, Tampere, Finland. Ed.: T. Rissa, 197–202, IEEE Operations Center. doi:10.1109/FPL.2005.1515722
COMPASS - a novel concept of a reconfigurable platform for automotive system development and test
Bieser, C.; Müller-Glaser, K. D.
2005. RSP 2005 - The 16th IEEE International Workshop on Rapid System Prototyping, June 8 - 10, 2005, Montreal, Canada, 135–140, IEEE Computer Society
Parallel and Flexible Multiprocessor System-On-Chip for Adaptive Automotive Applications based on Xilinx MicroBlaze Soft-Cores
Huebner, M.; Paulsson, K.; Becker, J.
2005. Proceedings / 19th IEEE International Parallel and Distributed Processing Symposium, April 4 - 8, 2005, Denver, Colorado, 149a - 149a, IEEE Computer Society
Vergleich der Abbildungsqualität hydrophober Acryl-Intraokularlinsen mit und ohne Blaulichtfilter vor und nach der Injektion durch eine Kartusche
Rawer, R.
2005. Hydrophobe Acryl-Intraokularlinsen mit und ohne Blaulichtfilter : Internationaler Erfahrungsaustausch über eine Neue Generation von Intraokularlinsen, Wien, 16. Oktober 2004. Hrsg.: S. Binder, 15–24, Ad Manum Medici
Novel Seamless Design-Flow for Partial and Dynamic Reconfigurable Systems with Customized Communication Structures Based on Xilinx Virtex-II FPGAs
Hübner, M.; Paulsson, K.; Stitz, M.; Becker, J.
2005. ARCS 2005 - 18th International Conference on Architecture of Computing Systems ’System Aspects in Organic and Pervasive Computing’, March 14 - 17, 2005, Innsbruck, Austria, Innsbruck
Models and Tools for the Dynamic Reconfiguration of FPGAs
Donlin, A.; Huebner, M.; Becker, J.
2005. Proceedings / IEEE International SOC Conference, September 25 - 28, 2005, Hilton Washington Dulles Airport, Herndon, VA. Ed.: D. Ha, 313–316, IEEE Operations Center
Heterogene Software Modellierung für ein chemisches Analysegerät mit GeneralStore - eine Fallstudie
Reichmann, C.; Graf, P.; Gebauer, D.; Müller-Glaser, K. D.
2005. 5. GMM/ITG/GI-Workshop "Multi-nature systems - optoelektronische, mechatronische und andere gemischte Systeme", 18. Februar 2005, Dresden, Fraunhofer Institut
Hardware Enhanced Function Allocation Management in Reconfigurable Systems
Ullmann, M.; Jin, W.; Becker, J.
2005. Presentation at the RAW 2005 - 12th Reconfigurable Architectures Workshop, April 4 - 5, 2005, Denver, Colorado, USA, Denver
Hardware/software co-training lab: from VHDL bit-level coding up to CASE-Tool based system modeling
Bieser, C.; Müller-Glaser, K. D.; Becker, J.
2005. Proceedings / 2005 IEEE International Conference on Microelectronic Systems Education (MSE ’05), 12 - 13 June 2005, Anaheim, California, USA, 51–52, IEEE Computer Society. doi:10.1109/MSE.2005.34
FELIX: Using Rewriting-Logic for Generating Functionally Equivalent Implementations
Morra, C.; Becker, J.; Ayala-Rincon, M.; Hartenstein, R.
2005. FPL 2005 - International Conference on Field Programmable Logic and Applications, 24 - 26 Aug. 2005, Tampere, Finland. Ed.: T. Rissa, 25–30, IEEE Operations Center
Dynamic Reconfiguration On-Demand: Real-time Adaptivity in Next Generation Microelectronics
Hübner, M.; Becker, J.; Paulsson, K.; Thomas, A.
2005. Proceedings of the 1st International Workshop on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), June 2005, Montpellier, France. Ed.: G. Sassatelli, 35–42, Univ. Montpellier II
Context-aware personal health monitoring using body wearable sensors
Kunze, C.; Stork, W.; Müller-Glaser, K. D.
2005. Medical physics : ICMP 2005 - 14th International Conference of Medical Physics of the International Organization for Medical Physics / BMT 2005 - 39th Annual Congress of the German Society for Biomedical Engineering, 14th - 17th September 2005, Nürnberg, Germany. Ed.: W. Kalender, Schiele und Schön
Challenges in Design and Production of Micro-Mechatronical Systems
Bieser, C.; Fleischer, J.; Müller-Glaser, K. D.; Volkmann, T.
2005. Micro system technologies 2005 - International Conference & Exhibition on Micro-, Electro-Mechanical, Opto & Nano Systems, October 5 - 6, 2005, München. Ed.: H. Reichl, Franzis
A Model-Based Design Approach for a Dynamically Configurable Hardware-/Software-Architecture
Graf, P.; Reichmann, C.; Müller-Glaser, K. D.
2005. Preliminary Proceedings of the OMER 3 - 3rd Workshop on Object-oriented Modeling of Embedded Real-Time Systems, 12 - 14 October 2005, Paderborn, Germany. Ed.: H. Giese, 79–84, Paderborn
Multi-grained Reconfigurable Datapath Structures for Online-Adaptive Reconfigurable Hardware Architectures
Thomas, A.; Becker, J.
2005. ISVLSI 2005 - IEEE Computer Society Annual Symposium on VLSI, May 11-12, 2005, Tampa, Florida, 118–123, IEEE Computer Society
Design of a Dynamic Reconfigurable Multi-Grained Hardware Architecture with Adaptive Runtime Routing
Thomas, A.
2005. FPL 2005 - International Conference on Field Programmable Logic and Applications, 24 - 26 Aug. 2005, Tampere, Finland, 745–746, IEEE Operations Center. doi:10.1109/FPL.2005.1515836
Online-adaptive Reconfigurable Hardware Architecture and Runtime Environment
Thomas, A.; Becker, J.
2005. Proceedings / IEEE International SOC Conference, September 25 - 28, 2005, Herndon, VA. Ed.: D. Ha, 239–242, IEEE Operations Center
Multi-grained Reconfigurable Harware Architecture with Online-Adaptive Routing Techniques
Thomas, A.; Becker, J.
2005. IFIP VLSI-SOC 2005 - IFIP International Conference on Very Large Scale Integration, October 17-19, 2005, Perth, Australia, Perth
Design Of Optimized Reconfigurable HW Tasks Using Operation Graph Signatures
Boden, M.; Ruelke, S.; Becker, J.
2005. Proceedings / 8th Euromicro Conference on Digital System Design, 30 Aug. - 3 Sept. 2005, Porto, Portugal. Ed.: Ch. Wolinski, IEEE Computer Society
2004
Book Chapters
General Store - Eine CASE-Tool Integrationsplattform für den durchgängigen Entwurf eingebetteter Systeme
Reichmann, C.
2004. Aktuelle Trends in der Softwareforschung : Tagungsband zum DoIT-Software-Forschungstag am 18. November 2003 / [MFG-Stiftung Baden-Württemberg ...], 223–240, Fraunhofer IRB Verlag
General Store CASE-Tool Integration-Platform
Reichmann, C.; Mueller-Glaser, K. D.; Kühl. M.; Graf, P.
2004. Design Automation and Test in Europe (DATE); University Booth, Februar 2004
PhD Theses
Berührungslose Anregung und Analyse von Schwingungen des menschlichen Auges zur Frühdiagnose einer Glaukomerkrankung [online]. PhD dissertation
Hey, S.
2004. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 10.11.2003., Universität Karlsruhe (TH). doi:10.5445/IR/1612004
Methoden, Systeme und Randbedingungen zur nicht-invasiven Glukosemessung am menschlichen Auge. PhD dissertation
Rawer, R.
2004. Universität Karlsruhe (TH)
Journal Articles
Vergleich von Laser-Doppler-Flussmessung und Photoplethysmografie für die kontinuierliche, nichtinvasive Bestimmung des Blutdrucks
Siebert, C.; Kozma, E.; Boll, P.; Lutter, N.
2004. Beiträge zur Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik, 38
Using Multi-Paradigm-Modeling within Embedded Systems Design
Mueller-Glaser, K. D.; Sax, E.; Frick, G.; Kuehl, M.
2004. IEEE Transactions on Control Systems Technology, 12 (2), 279–292
Conference Papers
CARUSO - Project Goals and Principal Approach
Brinkschulte, U.; Becker, J.; Dorfmueller-Ulhaas, H.; Koenig, R.; Uhrig, S.; Ungerer, T.
2004. GI-Workshop on Organic Computing, Ulm, Germany, 2004, 616–620
Formale Verifikation eines Sonet/SDH Framers
Becker, J.; Thomas, A.; Heinkel, U.; Winkelmann, K.; Bormann, J.
2004. Vortrag / Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, 7. GIT/ITG/GMM-Workshop Modellierung und Verifikation. Hrsg.: D. Stoffel, 280, Shaker Verlag
Channel modeling for and performance of contactless power-line data transmission
Hua, Z.; Wang, Y.; Mueller-Glaser, K. D.; Simon, O.
2004. International Symposium on Power Line Communications and Its Applications, 2005 : [ISPLC 2005] ; 6 - 8 April 2005, [Vancouver, Canada], 305–309, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISPLC.2005.1430520
Integration of a Bluetooth based ECG system into clothing
Ottenbacher, J.; Romer, S.; Kunze, C.; Grossmann, U.; Stork, W.
2004. Proceedings / Eighth IEEE International Symposium on Wearable Computers, ISWC 2004, 31 October - 3 November 2004, Arlington, Virginia; Vol. q, 186–187, IEEE Computer Society
CARUSO - Project Goals and Principal Approach
Brinkschulte, U.; Becker, J.; Dorfmüller-Ulhaas, H.; Koenig, R.; Uhrig, S.; Ungerer, T.
2004. Informatik 2004, Informatik verbindet - Beiträge der 34. Jahrestagung der Gesellschaft für Informatik e.V. (GI), 20. - 24. September 2004, Ulm, Vol. 2. Hrsg.: P. Dadam, 616–620, Gesellschaft für Informatik (GI)
Wavelet based QRS detection and classification using a neur fuzzy approach
Wagner von, G.; Sommermeyer, D.; Stork, W.; Mueller-Glaser, K. D.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Vergleich von Laser-Doppler-Flussmessung und Photoplethysmografie für die kontinuierliche, nichtinvasive Bestimmung des Blutdrucks
Siebert, C.; Kozma, E.; Boll, P.; Lutter, N.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Using Smartphones for Tele Disease-Management Applications
Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Optical non-invasive calculation of hemoglobin components concentrations and fractional oxygen saturation using a ring scattering pulse oximeter
Abdallah, O.; Stork, W.; Müller-Glaser, K. D.
2004. Optical diagnostics and sensing IV, 27 January 2004, San Jose, California, USA. Ed.: G. L. Coté, 51–61, Society of Photo-optical Instrumentation Engineers (SPIE)
Modelling and Simulation of the human arterial system to obtain a method to measure blood pressure non-invasively and continuously
Boll, P.; Vollmer, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.; Wagner, A.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Model Level Coupling of Heterogeneous Embedded Systems
Reichmann, C.; Gebauer, D.; Mueller-Glaser, K. D.
2004. 2nd RTAS Workshop on Model-Driven Embedded Systems (MoDES ’04) - Integrating Models, Architectures, Patterns, Frameworks, and Analysis of Embedded Systems, May 25-28, 2004, Toronto, Canada, 12 S
Integration adaptiver Laufzeitsysteme und Netzwerkstrukturen für dynamisch Rekonfigurierbare Hardware (ALADYN)
Graf, P.; Huebner, M.; Reichman, C.; Ullmann, M.
2004. Zwischenkolloquium des DFG-Schwerpunktprogramms "Rekonfigurierbare Rechensysteme", 01./02. Juli 2004, Bad Driburg, 42 Folien
Implementation of the newdeveloped IMEX-standard in a scale smartphone and home monitoring station
Fischer, M.; Hey, S.; Stork, W.; Mueller-Glaser, K. D.
2004. Proceedings of the 2. International Conference on Information Communication Technologies in Health (ICICTH), July 8-10, 2004, Samos, Greek, Samos
Heterogenous Modeling for Automotive Electronic Control Units using a CASE-Tool Integration Platform
Mueller-Glaser, K. D.; Reichmann, C.; Graf, P.; Kuehl, M.; Ritter, K.
2004. IEEE International Symposium on Computer Aided Control Systems Design, September 2 - 4, 2004, Taipei, Taiwan, 83–88, IEEE Operations Center
General Store - Eine CASE-Tool Integrationsplattform für den durchgängigen Entwurf eingebetteter Systeme
Reichmann, C.
2004. 12. Deutsches Anwenderforum für Statemate und Rhapsody in MicroC, März 2004, München
General Store - A CASE-Tool Integration Platform Enabling Model Level Coupling of Heterogeneous Designs for Embedded Electronic Systems
Reichmann, C.; Kuehl, M.; Graf, P.; Mueller-Glaser, K. D.
2004. Proceedings / 11th IEEE International Conference and Workshop on the Engineering of Computer-Based Systems, ECBS 2004, 24 - 27 May 2004, Brno, Czech Republic. Ed.: V. Dvorak, 225–232, IEEE Computer Society
Entwicklung eines mobilen, kontinuierlichen und nicht-invasiven Blutdruckmessgeätes mit Bluetooth Datenübertragung
Vollmer, P.; Boll, P.; Stork, W.; Lutter, N.; Wagner, A.; Grossmann, U.; Ottenbacher, J.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Durchgängige modellbasierte Entwicklung
Reichmann, C.
2004. ARTiSAN Benutzerforum D.A.CH, Lindau, 7.-8.4.2005, Lindau, Germany, Lindau
Development of an universal adaptable web based electronic patient record
Bachmor, T.; Hey, S.; Stork, W.; Müller-Glaser, K. D.
2004. Proceedings of the 2. International Conference on Information Communication Technologies in Health (ICICTH), July 8-10, 2004, Samos, Greek, Samos
Development of a camera based system for the measurement of blood pulse induced eye movements
Eix, I.; Stork, W.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Comparative measurement of the optical imaging quality of foldable Intra Ocular Lenses (IOL) by analysis of the Point Spread Function (PSF) according to ISO Standard before and after injection through a cartridge
Rawer, R.; Stork, W.; Lingenfelder, C.; Spraul, C.
2004. 102. Tagung der DOG "Evidenzbasierte Ophthalmologie - Anspruch und Wirklichkeit", 23.-26.9.2004, Berlin, Germany, Berlin
Automatisierte Modellkopplung heterogener eingebetteter Systeme
Reichmann, C.; Graf, P.; Kuehl, M.; Mueller-Glaser, K. D.
2004. Eingebettete Systeme - Fachtagung der GI-Fachgruppe REAL-TIME Echtzeitsysteme und PEARL, Boppard 25./26. November 2004. Hrsg.: P. Holleczek, Springer Verlag
Antwortzeiten der kontinuierlichen, nichtinvasiven Blutdruckmessung bei raschen Blutdruckanstiegen und -abfällen
Siebert, C.; Loehner, M.; Kozma, E.; Boll, P.; Lutter, N.
2004. Beiträge zur 38. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik im VDE - BMT 2004, 22 -24 September 2004, TU Ilmenau. Hrsg.: V. Detschew, Schiele & Schön
Analysis of the optical imaging quality of foldable Intra Ocular Lenses (IOL) before and after injection through a cartridge by comparative measurement of the Point Spread Function (PSF) according to ISO Standard
Rawer, R.; Stork, W.; Lingenfelder, C.; Spraul, C.
2004. XXIIth Congress of the European Society of Cataract and Refractive Surgeons (ESCRS), September 18-22, 2004, Paris, France, Paris
An FPGA Based OFDM Communication System for the Contactless Decentralized Transportation
Hua, Z.; Müller-Glaser, K. D.; Simon, O.
2004. ISPLC 2004 - Proceedings of the 8th International Symposium on Power Line Communications and its Applications, March 31 - April 2, 2004, Zaragoza, Spain. Ed.: A. Sanz, Univ. of Zaragoza
Real-time LUT-based Network Topologies for dynamic and partial FPGA Self-Reconfiguration
Huebner, M.; Becker, T.; Becker, J.
2004. Chip on the reefs : SBCCI 2004 - Proceedings of the 17th Symposium on Integrated Circuits and Systems Design, September 7 - 11, 2004, Porto de Galinhas, Pernambuco, Brazil, 28–32, Association for Computing Machinery (ACM)
On-Demand FPGA Run-Time System for Dynamical Reconfiguration with Adaptive Priorities
Ullmann, M.; Huebner, M.; Grimm, B.; Becker, J.
2004. Proceedings / Field programmable logic and application - 14th international conference (FPL 2004), August 30 - September 1, 2004, Antwerp, Belgium. Ed.: J. Becker, 454–463, Springer Verlag
Partial and Dynamically Reconfiguration of Xilinx Virtex-II FPGAs
Blodget, B.; Bobda, C.; Huebner, M.; Niyonkuru, A.
2004. Proceedings / Field programmable logic and application - 14th international conference (FPL 2004), August 30 - September 1, 2004, Antwerp, Belgium. Ed.: J. Becker, 801–810, Springer Verlag
Real-time Configuration Code Decompression for Dynamic FPGA Self-Reconfiguration
Huebner, M.; Ullmann, M.; Weissel, F.; Becker, J.
2004. Presentation at the RAW 2004 - 11th Reconfigurable Architectures Workshop, April 26. - 27. 2004, Santa Fé, USA, Santa Fé
An FPGA Run-Time System for Dynamical On-Demand Reconfiguration
Ullmann, M.; Grimm, B.; Huebner, M.; Becker, J.
2004. Presentation at the RAW 2004 - 11th Reconfigurable Architectures Workshop, April 26 - 27 2004, Santa Fé, USA, Santa Fé
Dynamic Adaptive Routing Techniques In Multigrain Dynamic Reconfigurable Hardware Architectures
Thomas, A.; Becker, J.
2004. FPL 2004 - Field-programmable logic and applications, 14th International Conference, August 30 - September 1, 2004, Antwerp, Belgium, 115–124, Springer Verlag
Aufbau- und Strukturkonzepte einer adaptiven multigranularen rekonfigurierbaren Hardwarearchitektur
Thomas, A.; Becker, J.
2004. ARCS 2004 - Organic and pervasive computing, March 26, 2004, Augsburg; Workshop Proceedings. Hrsg.: U. Brinkschulte, 165–174, Ges. für Informatik
Formale Verifikation eines Sonet/SDH Framers
Thomas, A.; Becker, J.; Heinkel, U.; Winkelmann, K.; Bormann, J.
2004. DASS 2004 - Dresdner Arbeitstagung Schaltungs- und Systementwurf "Intellectual Property Prinzipien-Workshop", 19.- 20. April 2004, Dresden, Fraunhofer Institut
Adaptive DMA-based I/O Interfaces for Data Stream Handling in Multi-grained Reconfigurable Hardware Architectures
Thomas, A.; Zander, T.; Becker, J.
2004. Chip on the reefs : SBCCI 2004 - Proceedings of the 17th Symposium on Integrated Circuits and Systems Design, September 7 - 11, 2004, Porto de Galinhas, Pernambuco, Brazil, 141–146, Association for Computing Machinery (ACM). doi:10.1145/1016568.1016609
Scalable Application-Dependent Network on Chip Adaptivity for Dynamical Reconfigurable Real-Time Systems
Huebner, M.; Ullmann, M.; Braun, L.; Klausmann, A.; Becker, J.
2004. FPL 2004 - Field-programmable logic and applications, 14th international conference, August 30 - September 1, 2004, Antwerp, Belgium, 1037–1041, Springer Verlag
Anwendungsspezifische IP-Generierung für zukünftige SoC-Implementierungen in mobilen Kommunikationssystemen
Thomas, A.; Becker, J. E.; Becker, J.
2004. DASS 2004 - Dresdner Arbeitstagung Schaltungs- und Systementwurf "Intellectual Property Prinzipien-Workshop", 19.- 20. April 2004, Dresden, Fraunhofer Institut
Conference Proceedings
ARCS 2004 - Organic and pervasive computing : workshop proceedings; March 26, 2004, Augsburg
Brinkschulte, U.; Becker, J.; Fey, D.; Grosspietsch, K.; Hochberger, C.; Maehle, E.; Runkler, T. (Eds.)
2004. Ges. für Informatik
ARCS 2004 - Organic and pervasive computing, March 26, 2004, Augsburg; Workshop Proceedings
Becker, J.
2004. (U. Brinkschulte, Ed.), Ges. für Informatik
Presentations
Integration adaptiver Laufzeitsysteme und Netzwerkstrukturen für dynamisch Rekonfigurierbare Hardware (ALADYN)
Ullmann, M.
2004. Mini-Workshop in Erlangen: Verteilte Rekonfigurierbare Systeme, 14.05.2004, Universität Erlangen-Nürnberg, Lehrstuhl für Hardware-Software-Co-Design
Durchgängige modellbasierte Entwicklung
Reichmann, C.
2004. 3. deutschsprachiges ARTiSAN Benutzerforum D.A.CH Lindau, 2004
2003
Book Chapters
Spezifikation und Entwurf eines kontinuierlichen, nicht invasiven Blutdruckmessgerätes zum Einsatz im Patientenmonitoring
Vollmer, P.; Boll, P.; Wagner, A.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
2003. Multi-nature systems : optoelektronische, mechatronische und andere gemischte Systeme ; 4. GI/GMM/ITG-Workshop, [Ilmenau, 22. September 2003] / Hrsg.: Dietmar Schröder und Jürgen Kampe, 11–14, emsys
PhD Theses
Interferometrische Schwingungsanalyse des menschlichen Auges zur Bestimmung des Intraokulardrucks. PhD dissertation
Gundlach, A.
2003. Karlsruhe 2003. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 12.11.2002., Universität Karlsruhe (TH)
Journal Articles
Non-Invasive Polarimetric Glucose Measurement System For Eye Phantoms And In-Vivo Measurement Requirements
Rawer, R.; Vollmer, P.; Malz, A.; Stork, W.
2003. Proceedings of SPIE, 5143, 96–102. doi:10.1117/12.500669
Analysis of Signal processing Scheme for Polarimetric In-Vivo Glucose Measurement in Aqueous Humor
Rawer, R.; Malz, A.; Vollmer, P.; Stork, W.
2003. Biomedizinische Technik, 48 (1, Suppl.), 430–431. doi:10.1515/bmte.2003.48.s1.430
Non invasive polarimetric measurement of glucose concentration in the anterior chamber of the eye
Rawer, R.; Stork, W.; Kreiner, C. F.
2003. Graefe’s archive for clinical and experimental ophthalmology, 242 (12), 1017–1023. doi:10.1007/s00417-004-1031-7
Implantable Osmotic-Pressure based Glucose Sensor with Non-Invasive Optical Readout
Rawer, R.; Stork, W.; Müller-Glaser, K.; Li, Q.
2003. Proceedings of SPIE, 5275, 247–256. doi:10.1117/12.521571
Plattform zur sicheren Datenhaltung und Übertragung als Basis für Telemonitoring Anwendungen
Grossmann, U.; Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2003. Biomedizinische Technik, 48 (1), 536–537. doi:10.1515/bmte.2003.48.s1.536
Configware and Morphware going Mainstream
Becker, J.; Hartenstein, R. W.
2003. Journal of systems architecture, 49 (4-6). doi:10.1016/S1383-7621(03)00073-0
Conference Papers
Fehleranalyse und Optimierung der LDF-Messung
Vollmer, P.; Boll, P.; Wagner, A.; Stork, W.; Lutter, N.; Mueller-Glaser, K. D.
2003. Kongressbericht "BMT - Gemeinsame Jahrestagung der Österreichischen, Deutschen und Schweizerischen Gesellschaft für Biomedizinische Technik", Salzburg, Österreich, 24.-27. September 2003
Acceleration of MPEG-4 video applications with the reconfigurable HW processor XPP
Ritter, K.; Schueler, E.; Quast, J.; Mueller-Glaser, K. D.
2003. Visual Communications and Image Processing, 8-11 July 2003, Lugano, 1097–1108, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.503089
Overall System Design Approach doing Object-Oriented Modeling to Code-Generation for Embedded Electronic Systems
Reichmann, C.; Kühl, M.; Müller-Glaser, K. D.
2003. Fundamental approaches to software engineering : 6th international conference ; proceedings / FASE 2003, held as part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2003, Warsaw, Poland, April 7 - 11, 2003. Ed.: Mauro Pezzè, 52–66, Springer Verlag
Distributed Telematics Platform for Pervasive Healthcare Applications
Kunze, C.; Grossmann, U.; Ottenbacher, J.; Stork, W.; Mueller-Glaser, K. D.
2003. Kongressbericht "BMT - Gemeinsame Jahrestagung der Österreichischen, Deutschen und Schweizerischen Gesellschaft für Biomedizinische Technik", Salzburg, Österreich, 24.-27. September 2003, 140–141. doi:10.1515/bmte.2003.48.s1.140
Mikrotechnische Vital-Sensoren zum Telemonitoring und Einbindung in übergeordnete Informationssysteme
Kunze, C.
2003. Medica, 35. Weltforum für Arztpraxis und Krankenhaus : Düsseldorf, 19. - 22. Nov. 2003, Ith-Verl. Klückmann
Tele-Monitoring as a medical application of Ubiquitous Computing
Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2003. Mobiles Computing in der Medizin : 3. Workshop der Projektgruppe Mobiles Computing in der Medizin (MoCoMed),[GMDS-Fachbereich Medizinische Informatik, GI-Fachausschuss 4.7], 09. April 2003, Dortmund, Germany / Hrsg.: Michael Kroll ..., Ges. für Informatik
Quality Assurance and Software Certification in respect to Software Construction of Safety Critical X-by-Wire Systems
Kuehl, M.; Mueller-Glaser, K. D.
2003. Kongressbericht "VDI Konferenz Elektronik im Kraftfahrzeug", Baden-Baden, September 2003, 3519–3527, VDI Verlag
Circulation Estimates From Corneal Pulse Amplitude Measurements
Eix, I.; Hey, S.; Rentsch, F.; Stork, W.; Mueller-Glaser, K. D.
2003. "Kongressbericht " BMT - Gemeinsame Jahrestagung der Österreichischen, Deutschen und Schweizerischen Gesellschaft für Biomedizinische Technik, Salzburg, Österreich, 24.-27. September 2003, Salzburg, Österreich, 24.-27. September 2003
Integration of Heterogeneous Execution Environments for Co-Simulation
Dreier, R.; Mueller-Glaser, K. D.
2003. Proceedings of the Twelfth IASTED International Conference on Applied Simulation and Modelling, September 3-5, 2003, Marbella, Spain, Track 410–172, ACTA Press
Configurable Systems-on-Chip: Necessity and Perspective for future Microelectronic Solutions
Becker, J.
2003. Proceedings / 15th Symposium on Integrated Circuits and Systems Design : 9 - 14 September 2002, Porto Alegre, Brazil ; [held jointly with SBMicro 2002, International Conference on Microelectronics and Packaging], 379–384, Institute of Electrical and Electronics Engineers (IEEE)
Real-Time Dynamically Run: Time Reconfiguration for Power-/Cost-optimized Virtex FPGA Realizations
Becker, J.; Huebner, M.; Ullmann, M.
2003. VLSI-SoC 2003 ; IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Darmstadt, Germany, 1-3 December 2003, 129–134, Techn. Univ
An industrial/academic configurable system-on-chip project (CSoC): coarse-grain XPP-/Leon-based architecture integration
Becker, J.; Thomas, A.; Vorbach, M.; Baumgarte, V.
2003. Proceedings / Design, Automation and Test in Europe Conference and Exhibition (DATE 03), March 3 - 7, 2003, Munich, Germany. Ed.: N. Wehn, 1120–1121, IEEE Computer Society
Power estimation and power measurement of Xilinx Virtex FPGAs: trade-offs and limitations
Becker, J.; Huebner, M.; Ullmann, M.
2003. Proceedings / 16th Symposium on Integrated Circuits and Systems Design (SBCCI 2003),September 8 - 11, 2003, Sao Paulo, Brazil, 283–288, IEEE Computer Society
Efficient processor instruction set extension by asynchronous reconfigurable datapath integration
Becker, J.; Thomas, A.; Scheer, M.
2003. Proceedings / 16th Symposium on Integrated Circuits and Systems Design (SBCCI 2003),September 8 - 11, 2003, Sao Paulo, Brazil, 237–242, IEEE Computer Society
Hardware/software co-training by FPGA/ASIC synthesis and programming of a RISC microprocessor-core
Becker, J. E.; Bieser, C.; Thomas, A.; Müller-Glaser, K. D.; Becker, J.
2003. Proceedings / 2003 IEEE International Conference on Microelectronic Systems Education, June 1 - 2, 2003, Anaheim, California, USA, 134–135, IEEE Computer Society. doi:10.1109/MSE.2003.1205288
Architecture, memory and interface technology integration of an industrial/ academic configurable system-on-chip (CSoC)
Becker, J.; Vorbach, M.
2003. Proceedings / IEEE Computer Society Annual Symposium on VLSI, 2003, 20 - 21 February 2003, Tampa, Florida. Ed.: A. Smailagic, 107–112, IEEE Computer Society
Presentations
Reconfigurable Computing Systems
Becker, J.
2003. Proceedings Escola de Microeletronica da SBC - Sul (EMICRO 2003), Rio Grande, Brasilien, 2003
2002
Book Chapters
Implementing real-time scheduling within a multithreaded Java microcontroller
Uhrig, S.; Liemke, C.; Pfeffer, M.; Becker, J.; Brinkschulte, U.; Ungerer, T.
2002. In: 6th Workshop on Multithreaded Execution, Architecture, and Compilation, MTEAC-6, Istanbul, Turkey 2002. Los Alamitos, Calif. : IEEE Computer Society 2002
Design flow for the reconfigurable HW platform XPP
Ritter, C.; Schueler, E.; Sax, E.; Mueller-Glaser, K. D.
2002. In: ITCOM Conference, Boston, Mass. 2002. Bellingham, Wash. 2002. S. 30-41. (Proceedings. SPIE. 4867.)
Rapid prototyping of FPGA based floating point DSP systems
Ho, C. H.; Leong, M. P.; Leong, P. H. W.; Becker, J.; Glesner, M.
2002. In: 13th IEEE International Workshop on Rapid System Prototyping, RSP 2002, Darmstadt 2002. Los Alamitos, Calif. 2002. S. 19-24
Design and realization of a handheld-vibrometer system for non-contact in vivo detection of microvibrations of the human eye to determine the intraocular pressure (IOP)
Gundlach, A.; Rawer, R.; Hey, S.; Stork, W.; Mueller-Glaser, K. D.
2002. In: Ophthalmic technologies XII. Bellingham, Wash. 2002. S. 9-19. (Proceedings. SPIE. 4611.)(Progress in biomedical optics and imaging. 3,3.)
Configurable systems-on-chip: commercial and academic approaches
Becker, J.
2002. In: 9th IEEE International Conference on Electronic Circuits and Systems, ICECS 2002, Dubrovnik, Croatia 2002. [CD-ROM]
Configurable systems-on-chip (CSoC)
Becker, J.
2002. In: 15th Symposium on Integrated Circuits and System Design, SBCCI2002, Porto Alegre, Brazil 2002. Los Alamitos, Calif. : IEEE Computer Society 2002. S. 379-384
Configurable systems-on-chip: challenges and perspectives for industry and universities
Becker, J.
2002. In: International Conference on Engineering of Reconfigurable Systems and Algorithms, ERSA’02, Las Vegas 2002. Ed.: T. Plaks. Las Vegas, USA : CSREA Press 2002. S. 109-115
Dynamically reconfigurable systems-on-chip: a core-based industrial/academic SoC synthesis project
Becker, J.; Thomas, A.; Vorbach, M.; Ehlers, G.
2002. In: IEEE Workshop Heterogeneous Reconfigurable SoC, Hamburg 2002
Knowledge sharing across organizational boundaries with application to distributed engineering processes
Frick, G.; Sax, E.; Mueller-Glaser, K. D.
2002. In: Knowledge mapping and management. Ed.: D. White. Hershey, PA : IRM Press 2002. S. 166-174
From object-oriented modeling to code generation for rapid prototyping of embedded electronic systems
Kuehl, M.; Reichmann, C.; Proetel, I.; Mueller-Glaser, K. D.
2002. In: 13th IEEE International Workshop on Rapid System Prototyping, RSP 2002, Darmstadt 2002. Los Alamitos, Calif. 2002. S. 108-114
Seamless testing of embedded control systems
Sax, E.; Willibald, J.; Mueller-Glaser, K. D.
2002. In: Digest of papers. 3rd IEEE Latin American Test Workshop, Montevideo, Uruguay 2002. S. 151-153
Generative development of embedded real-time systems
Frick, G.; Mueller-Glaser, K. D.
2002. In: ECOOP 2002 Workshop on Generative Programming, Malaga, Spain, June 10, 2002 [online]
Books
Personal Health Monitoring: Chancen, Technologien und Herausforderungen im Home-Monitoring
Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2002. Telemedizinführer Deutschland 2003 (2002)
Grundlagen der Digitaltechnik. 4., korr. Aufl
Lipp, H. M.
2002. München 2002
Journal Articles
Polarimetrische Verfahren zur intraokularen Glukosemessung
Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47, Erg.Bd.1 (Teil 2), 186–189
Hämodynamische Modellierung und Simulation des Blutgefässsystems
Boll, P.; Wagner, A.; Lutter, N.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (Suppl. 1), 239–242
Personal Health Monitoring System mit innovativer mikrosystemtechnischer Sensorik (Statusbericht)
Stork, W.; Kreiner, C. F.; Wanner, R.; Geist, A.; Niestroj, J.; Guttenberg, U.; Lutter, N.
2002. Biomedizinische Technik, 47 (1), 903–906
Mobiles EKG mit Bluetooth-Kommunikation
Grossmann, U.; Kunze, C.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (1), 363–364
Application of ubiquitous computing in personal health monitoring systems
Kunze, C.; Grossmann, U.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (1), 360–362
Hämodynamische Modellierung und Simulation des Blutgefäßsystems
Boll, H. P.; Wagner, A.; Lutter, N.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (1), 239–242
High-speed gated surface profiling with closed-loop optical coherence topography
Eix, I.; Zvyagin, A. V.; Sampson, D. D.
2002. Biomedizinische Technik, 47 (1), 189–190
Polarimetric methods for measurement of intra ocular glucose concentration
Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (1), 186–188
Bifocal ultra thin intraocular lens - optical properties and clinical results
Stork, W.; Kreiner, C. F.; Rentsch, F.
2002. Biomedizinische Technik, 47 (1), 184–185
Verfahren zur Schwingungsanregung des Auges für die berührungslose Tonometrie
Hey, S.; Gundlach, A.; Stork, W.; Mueller-Glaser, K. D.
2002. Biomedizinische Technik, 47 (1), 181–183
Micro displays as intraocular vision aid - design of an optical system
Stork, W.; Eix, I.
2002. Biomedizinische Technik, 47 (1), 161–163
High-speed, high-sensitivity, gated surface profiling with closed-loop optical coherence topography
Zvyagin, A. V.; Eix, I.; Sampson, D. D.
2002. Applied optics, 42 (11), 2179–2184
Conference Papers
From Object-Oriented Modeling to Code-Generation for Rapid Prototyping of Embedded Electronic Systems
Kuehl, M.; Reichmann, C.; Proetel, I.; Mueller-Glaser, K. D.
2002. 13th IEEE International Workshop on Rapid System Prototyping, RSP 2002; Darmstadt, Germany, 1 July 2002 through 3 July 2002, 108–114, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/IWRSP.2002.1029745
Verfahren zur Schwingungsanregung des Auges für die berührungslose Tonometrie
Hey, S.; Gundlach, A.; Stork, W.; Mueller-Glaser, K. D.
2002. 36. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik (DGBMT) im VDE, Karlsruhe, 25.-28. September 2002, Kongressbericht, 181–183, De Gruyter
Configurable systems-on-chip (CSoC)
Becker, J.
2002. Proceedings / 15th Symposium on Integrated Circuits and Systems Design, 9 - 14 September 2002, Porto Alegre, Brazil. Ed.: R. Reis, 379–384, IEEE Computer Society
Dynamically Reconfigurable Systems-on-Chip: A Core-based Industrial/Academic SoC Synthesis Project
Becker, J.; Thomas, A.; Vorbach, M.; Ehlers, G.
2002. Proceedings of the IEEE Workshop Heterogeneous Reconfigurable Systems on Chip (SoC), April 2002, Hamburg, Germany
Rapid Prototyping of FPGA based Floating Point DSP Systems
Ho, C.; Leong, M.; Leong, P.; Becker, J.; Glesner, M.
2002. Proceedings / 13th International Workshop on Rapid System Prototyping, 1 - 3 July 2002, Darmstadt, Germany, 19–24, IEEE Computer Society
Implementing Real-time Scheduling within a Multithreaded Java Microcontroller
Uhrig, S.; Liemke, C.; Pfeffer, M.; Becker, J.; Brinkschulte, U.; Ungerer, T.
2002. Proceedings / 6th Workshop on Multithreaded Execution, Architecture, and Compilation (MTEAC-6), November 19, 2002, Istanbul, Turkey
Conference Proceedings
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA’02)
Arabnia, H. R.; Becker, J.; Gokhale, M.; Gorgon, M.; Platzner, M.
2002. (T. Plaks & P. Athanas, Eds.), Las Vegas, USA : CSREA Press 2002
2001
Book Chapters
Laser-Doppler sensor system for speed and length measurements at moving surfaces
Stork, W.; Wagner, A.; Kunze, C.
2001. In: Proceedings. SPIE-Conference Laser 2001 - World of Photonics, München 2001
A methodology for architecture-oriented rapid prototyping
Spitzer, B.; Kuehl, M.; Mueller-Glaser, K. D.
2001. In: Proceedings. 12th IEEE International Workshop on Rapid System Prototyping, Monterey, USA 2001. Los Alamitos, Calif. 2001. S. 200-205
Realisierung eines Laser-Vibrometersystems zur berührungslosen in vivo Bestimmung des Intraokulardrucks
Gundlach, A.; Hey, S.; Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2001. In: Konferenzband. 35. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik, Bochum 2001. Berlin : Schiele & Schön 2001. S. 426-427
Berührungslose Messung des Intraokulardrucks durch Schallanregung des menschlichen Auges und nachfolgende interferometrische Vibrationsanalyse
Gundlach, A.; Hey, S.; Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2001. In: Konferenzband. 5. Chemnitzer Fachtagung Mikromechanik & Mikroelektronik, Chemnitz 2001. Chemnitz 2001. S. 105-108
Eine durchgehende Entwurfsmethodik für das Rapid Prototyping von eingebetteten Systemen
Kuehl, M.; Reichmann, C.; Spitzer, B.; Mueller-Glaser, K. D.
2001. In: Kongressbericht. Workshop Modelltransformation und Werkzeugkopplung, Braunschweig 2001. Braunschweig 2001
Universal object-oriented modeling for rapid prototyping of embedded electronic systems
Kuehl, M.; Spitzer, B.; Mueller-Glaser, K. D.; Dambacher, U.
2001. In: Proceedings. 12th IEEE International Workshop on Rapid System Prototyping, Monterey, USA 2001. Los Alamitos, Calif. 2001. S. 149-154
A virtual project house infrastructure for distributed development processes
Frick, G.; Mueller-Glaser, K. D.
2001. In: E-business and virtual enterprises, managing business-to-business cooperation. Ed.: L.M. Camarinha-Matos. Boston 2001. S. 193-202
Information management concepts for multi-tool modeling
Frick, G.; Mueller-Glaser, K. D.
2001. In: Modelling, identification, and control. Proceedings of the IASTED International Conference, Innsbruck, Austria 2001. Ed.: M.H. Hamza. Vol. 2. Anaheim 2001. S. 803-806
PhD Theses
Beitrag zur metrikbasierten Erfassung von CASE-Entwurfsumgebungen für elektronische Systeme. PhD dissertation
Stingl, T.
2001. Karlsruhe 2001. (FZI-Publikation. 2001,1.) Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 19.12.2000., Universität Karlsruhe (TH)
Methoden und Systeme zur nichtinvasiven, kontinuierlichen und belastungsfreien Blutdruckmessung [online]. PhD dissertation
Elter, P.
2001. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 6.2.2001., Universität Karlsruhe (TH). doi:10.5445/IR/762001
Modellbasierter Hardware-in-the-Loop Test von eingebetteten elektronischen Systemen [online]. PhD dissertation
Spitzer, B.
2001. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 18.12.2001., Universität Karlsruhe (TH). doi:10.5445/IR/18262001
Automation des Tests eingebetteter Systeme am Beispiel der Kraftfahrzeugelektronik [online]. PhD dissertation
Hartmann, N.
2001. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 23.1.2001., Universität Karlsruhe (TH). doi:10.5445/IR/1642001
Journal Articles
Eine durchgehende Entwurfsumgebung für das Rapid Prototyping von eingebetteten elektronischen Systemen
Kuehl, M.; Reichmann, C.; Spitzer, B.; Mueller-Glaser, K. D.
2001. Information technology, 43 (6), 320 -. doi:10.1524/itit.2001.43.6.320
Eine durchgehende Entwurfsumgebung für das Rapid Prototyping von eingebetteten elektronischen Systemen
Kuehl, M.; Reichmann, C.; Spitzer, B.; Mueller-Glaser, K. D.
2001. Informationstechnik und technische Informatik, 43 (6), 320–329
Modellintegration von zeitkontinuierlichen Komponenten beim Entwurf eingebetteter Systeme mit der Unified Modeling Language
Kuehl, M.; Hajsani, P.; Mueller-Glaser, K. D.
2001. Electronic embedded systeme, 2001 (9), 14–17
Conference Papers
Entwurfsbegleitender Test für die modellbasierte Entwicklung eingebetteter Systeme
Ritter, K.; Willibald, J.; Sax, E.
2001. 13th Workshop Testmethods and Reliability of Circuit and Systems, Miesbach, Deutschland, 18. - 20. Februar 2001
Eine durchgehende Entwurfsmethodik für das Rapid Prototyping von Eingebetteten Systemen
Kuehl, M.; Reichmann, C.; Spitzer, B.; Mueller-Glaser, K. D.
2001. Workshop Modelltransformation und Werkzeugkopplung, Braunschweig 2001, Kongressbericht, TU
Realisierung eines Laser-Vibrometersystems zur berührungslosen in vivo Bestimmung des Intraokulardrucks
Gundlach, A.; Hey, S.; Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2001. Beiträge zur 35. Jahrestagung der Deutschen Gesellschaft für Biomedizinische Technik (DGBMT)im VDE : 9. - 21. September 2001, Ruhr-Universität Bochum, 426–427, Schiele & Schön
Berührungslose Messung des Intraokulardrucks durch Schallanregung des menschlichen Auges und nachfolgende interferometrische Vibrationsanalyse
Gundlach, A.; Hey, S.; Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2001. Mikro-System-Technik Chemnitz ’05 : Mikromechanik & Mikroelektronik ; 7. Chemnitzer Fachtagung Mikromechanik & Mikroelektronik, 26./27. Oktober 2005, 105–108, Techn. Univ
An Object-Based Model Representation System Lending OO Features to Non-OO Modeling Languages
Frick, G.; Sax, E.; Mueller-Glaser, K. D.
2001. Real time systems: OMER-2 Workshop proceedings, May 9 - 12, 2001, Herrsching (Ammersee), Germany, 99–105, Univ. der Bundeswehr München
Requirements and State of the Art of Automated Software Development for Embedded Systems Based on CASE Tools
Dreier, R.; Mueller-Glaser, K. D.; Sax, E.
2001. Proceedings / Design, Automation and Test in Europe ; Conference and Exhibition 2001, Munich, Germany, March 13 - 16, 2001, 44–48, Institute of Electrical and Electronics Engineers (IEEE)
Durchgängige Testmethoden für komplexe Steuerungssysteme - Optimierung der Prüftiefe durch effiziente Testprozesse, Elektronik im Kraftfahrzeug
Dornseiff, M.; Stahl, M.; Sieger, M.; Sax, E.
2001. 10. Internationaler Kongress "Elektronik im Kraftfahrzeug", 27. - 28.6.2001, Baden-Baden, Deutschland, 347–366, VDI Verlag
Concepts for the Usage of Real-Time CORBA in Time-Triggered Architectures
Barheine, O.; Müller-Glaser, K. D.
2001. Advances in automotive control 2001: a proceedings volume from the 3rd IFAC Workshop, Karlsruhe, Germany, 28 - 30 March 2001, 149–155, Pergamon
Effiziente IP-basierte Abbildungsverfahren für dynamisch rekonfigurierbare Array-Architekturen
Becker, J.; Pionteck, T.; Glesner, M.
2001. Entwurf integrierter Schaltungen : Präsentationen der ITG-Fachtagung vom 3. bis 5. April 2001 in Dresden / 10. EIS-Workshop, 315–320, VDE Verlag
Adaptive Systems-on-Chip: Architectures, Technologies and Applications
Becker, J.; Pionteck, T.; Glesner, M.
2001. Proceedings of the 14th Annual Symposium on Integrated Circuits and Systems Design (SBCCI 2001), September 10-15, 2001, Pirenopolis, Brazil, IEEE Computer Society
Architectures, Technologies & CAD for Embedded Systems-on-Chip (SoC)
Becker, J.; Glesner, M.
2001. Conference Proceedings of Electronic Circuits & Systems (ECS 01), September 2001, Bratislava, Slovakia, 97–100, Bratislava
Efficient Mapping of pre-synthesized IP-Cores onto Dynamically Reconfigurable Array Architectures
Becker, J.; Pionteck, T.; Liebau, N.; Glesner, M.
2001. Proceedings / Field-programmable logic and applications - 11th International Conference (FPL 2001), August 27 - 29, 2001, Belfast, Northern Ireland, UK. Ed.: G. Brebner, 584–589, Springer Verlag
Technologies, Architectures, CAD and Applications of Complex Systems-on-Chip (SoC)
Glesner, M.; Becker, J.; Pionteck, T.
2001. ECCTD ’01 - European Conference on Circuit Theory and Design "Circuit Paradigm in the 21st Century", August 28 - 31, 2001, Espoo, Finland
Simulation, Prototyping and Reconfigurable Hardware Realization of CDMA RAKE-Receiver Algorithms for Flexible Mobile Transceivers
Becker, J.; Pionteck, T.; Glesner, M.
2001. Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA 2001), June 25 - 28, 2001, Las Vegas, Nevada, USA. Ed.: T. P. Plaks, CSREA Press
Prototyping of Efficient Hardware Algorithms for Data Compression in Future Communication Systems
Mukherjee, N.; Motgi, J.; Becker, J.; Friebe, A.; Habermann, C.; Glesner, M.
2001. Proceedings / 12th International Workshop on Rapid System Prototyping, RSP 2001, June 25 - 27, 2001, Monterey, Calif., 58 - 63, IEEE Computer Society
Design and Implementation of a Coarse-Grained Dynamically Reconfigurable Hardware Architecture
Becker, J.; Pionteck, T.; Habermann, C.; Glesner, M.
2001. Proceedings / IEEE Computer Society Annual Workshop on VLSI (WVLSI 2001), 19-20 April, 2001, Orlando, Florida
Effiziente IP-basierte Abbildungsverfahren für dynamisch rekonfigurierbare Array-Architekturen
Becker, J.; Pionteck, T.; Glesner, M.
2001. Entwurf integrierter Schaltungen - 10. E.I.S.-Workshop ; Präsentationen der ITG-Fachtagung, 3. bis 5. April 2001, Dresden, 315–320, VDE Verlag
2000
Book Chapters
Microdisplays as intraocular vision aid - optical and physiological constraints
Stork, W.; Mueller-Glaser, K. D.; Kreiner, C. F.
2000. In: Proceedings. MICRO.tec 2000, VDE World Microtechnologies Congress, Hannover, Germany 2000. Vol. 2. Berlin 2000. S. 449-452
Non-contact measurement of the intraocular pressure (IOP) through stimulation and measurement of microvibrations of the human eye
Gundlach, A.; Drescher, J.; Hey, S.; Rawer, R.; Stork, W.; Mueller-Glaser, K. D.
2000. In: Proceedings. MICRO.tec 2000, VDE World Microtechnologies Congress, Hannover, Germany 2000. Vol. 2. Berlin 2000. S. 445-447
Knowledge sharing across organizational boundaries with application to distributed engineering processes
Frick, G.; Sax, E.; Mueller-Glaser, K. D.
2000. In: IT management in the 21st century. Proceedings of the 2000 IRMA International Conference, Anchorage, AK 2000. Ed.: M. Khosrowpour. S. 1116-1118
Noninvasive, continuous monitoring of blood flow and pressure without cuff
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
2000. In: Proceedings. MICRO.tec 2000, VDE World Microtechnologies Congress, Hannover, Germany 2000. Vol. 2. Berlin 2000. S. 751-754
Books
Vorrichtung zur nichtinvasiven Blutdruckmessung
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
2000. Deutsche Patentschrift DE 198 29 544 C 1, 2000
Scheduling for concept-oriented rapid prototyping
Burst, A.; Wolff, M.; Spitzer, B.; Mueller-Glaser, K. D.
2000. Des. autom. for embedded syst. j. 5 (2000) H. 3/4
Grundlagen der Digitaltechnik. 3., korrig. Aufl
Lipp, H. M.
2000. München 2000
PhD Theses
Beitrag zur entwurfsbegleitenden Validierung und Verifikation elektronischer Mixed-Signal-Systeme. PhD dissertation
Sax, E.
2000. Karlsruhe 2000. (FZI-Publikation. 2000,1.) Fak. f. Elektrotechnik, Diss. v. 16.12.1999., Universität Karlsruhe (TH)
Atemgeräuscherkennung mit Markov-Modellen und Neuronalen Netzen beim Patientenmonitoring. PhD dissertation
Kouemou, G.
2000. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 25.7.2000., Universität Karlsruhe (TH)
Bestimmung des Intraoculardrucks aus dem Schwingungsverhalten des Humanauges [online]. PhD dissertation
Drescher, J.
2000. Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 10.2.2000., Universität Karlsruhe (TH). doi:10.5445/IR/632000
Rapid Prototyping eingebetteter elektronischer Systeme auf Basis des CDIF-Datenaustauschformats [online]. PhD dissertation
Burst, A.
2000. Karlsruhe 2000. (Institut für Technik der Informationsverarbeitung, Universität Karlsruhe (TH). 2000,2.) Fak. f. Elektrotechnik und Informationstechnik, Diss. v. 15.2.2000., Universität Karlsruhe (TH). doi:10.5445/IR/1412000
Journal Articles
Rapid Prototyping von eingebetteten elektronischen Systemen
Mueller-Glaser, K. D.; Burst, A.; Spitzer, B.; Kuehl, M.
2000. it+ti, Informationstech. u. Tech. Informatik 42 (2000) H. 2 S. 8-15
Conference Papers
Microsystem Technology in the Human Eye
Kreiner, C. F.; Drescher, J.; Stork, W.; Mueller-Glaser, K. D.
2000. Proceedings / MICRO.tec 2000 : applications, trends, visions , Vol. 2, 331–335, VDE Verlag
Design and simulation of heterogeneous embedded systems
Mueller-Glaser, K. D.; Sax, E.; Stork, W.; Wagner, A.; Drescher, J.; Kuehl, M.
2000. Proceedings / 13th Symposium on Integrated Circuits and Systems Design, 18-24 September 2000, Manaus, Brazil. Ed.: R. Reis, 385–390, IEEE Computer Society
Presentations
Stand der Technik auf dem Gebiet der Entwurfswerkzeuge und Code-Generatoren - eine vergleichende Evaluierungsstudie
Sax, E.
2000. Steuergeräte - Design im Automobilbau und in der Industrieautomation 2000, München, Germany
1999
Book Chapters
A common mixed-signal testbench for design verification and test
Sax, E.; Krampl, G.; Miegler, M.; Sattler, S.
1999. In: 5th IEEE International Mixed Signal Testing Workshop, Whistler, British Columbia, Canada 1999
Eine ablaufsteuerbare Signalbeschreibung zur frühzeitigen und durchgängigen Validierung von elektronischen Schaltungsmodellen
Sax, E.; Willibald, J.; Mueller-Glaser, K. D.
1999. In: Entwurf integrierter Schaltungen. 9. E.I.S.-Workshop, Darmstadt 1999. Berlin 1999. (GMM-Fachbericht. 29.)
Non-contact measurement of intraocular pressure using a modified Michelson interferometer
Drescher, J.; Kruse, A.; Kessler, D.; Stork, W.; Mueller-Glaser, K. D.; Kreiner, C. F.
1999. In: Proceedings of Ophthalmic Technologies 9. 1999. S. 104-113. (Proceedings. SPIE. 3591.)
Spezifikation, Simulation und Realisierung eines heterogenen Systems zur berührungslosen Bestimmung des Intraokulardrucks
Drescher, J.; Hey, S.; Gundlach, A.; Stork, W.; Mueller-Glaser, K. D.
1999. In: GMM-ITG-GI Workshop "Multi-Nature Systems", Jena 1999. S. 9-17
Noninvasive and nonocclusive determination of blood pressure using laser Doppler flowmetry
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
1999. In: Proceedings of Specialty Fiber Optics for Medical Applications as part of Photonics West 99 / BIOS 99, San Jose, USA 1999. S. 188-196. (Proceedings. SPIE. 3596.)
Evaluation of a laser Doppler flowmetry system with fast signal processing using an auto-regressive process model
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
1999. In: Proceedings of Optical Diagnostics of Biological Fluids IV as part of Photonics West 99 / BIOS 99, San Jose, USA 1999. S. 129-138. (Proceedings. SPIE. 3599.)
Entwurf und Realisierung eines heterogenen Systems zur nichtinvasiven Laser-Doppler-Blutflußmessung
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
1999. In: GMM-ITG-GI Workshop "Multi-Nature Systems", Jena 1999. S. 45-52
Interface technologies for versatile rapid-prototyping systems
Spitzer, B.; Burst, A.; Wolff, M.; Mueller-Glaser, K. D.
1999. In: Rapid System Prototyping Conference (RSP), Tampa, Fla. 1999
Scheduling strategies and estimations for concept-oriented rapid prototyping
Burst, A.; Wolff, M.; Kuehl, M.; Mueller-Glaser, K. D.
1999. In: Rapid System Prototyping Conference (RSP), Tampa, Fla. 1999
Journal Articles
Rapid Prototyping von Informationssystemen für Kraftfahrzeuge
Mueller-Glaser, K. D.; Burst, A.; Spitzer, B.; Schmerler, S.
1999. Information technology, 41 (5), 12–18
Rapid Prototyping von Informationssystemen für Kraftfahrzeuge
Mueller-Glaser, K. D.; Burst, A.; Spitzer, B.; Schmerler, S.
1999. it+ti - Informationstech. u. Tech. Informatik 41 (1999) H. 5 S. 12-18
Kommunikation und Schnittstellen in verteilten Systemen - eine Übersicht
Wolff, M.; Burst, A.; Lipp, H. M.
1999. it+ti - Informationstech. u. Tech. Informatik 41 (1999) H. 6 S. 6-16
Conference Papers
A Common Mixed-Signal Testbench for Design Verfication and Test
Sax, E.; Krampl, G.; Miegler, M.; Sattler, S.
1999. 5th IEEE International Mixed Signal Testing Workshop, Whistler, British Columbia, Canada, 15-18 June, 1999. Chairman: A. Ivanov, 35–40, [S.l.]
Evaluation of a laser Doppler flowmetry system with fast signal processing using an autoregressive process model
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
1999. Proceedings of Optical Diagnostics of Biological Fluids IV as part of Photonics West 99 / BIOS 99, San Jose, USA, Januar 1999, 129–138, SPIE
Entwurf und Realisierung eines heterogenen Systems zur nichtinvasiven Laser-Doppler-Blutflussmessung
Elter, P.; Stork, W.; Mueller-Glaser, K. D.; Lutter, N.
1999. Multi-nature systems : optoelektronische, mechatronische und sonstige gemischte Systeme; GMM -- ITG -- GI Workshop am 11. 02. 1999 in Jena, Ges. Mikroelektronik
Spezifikation, Simulation und Realisierung eines heterogenen Systems zur berührungslosen Bestimmung des Intraokulardrucks
Drescher, J.; Hey, S.; Gundlach, A.; Stork, W.; Mueller-Glaser, K. D.
1999. Multi-nature systems : optoelektronische, mechatronische und sonstige gemischte Systeme; GMM -- ITG -- GI Workshop am 11. 02. 1999 in Jena, 9–17, Gesellschaft Mikroelektronik
1998
Book Chapters
Tessi-Daves: a common environment to link design and test of mixed signal ICs
Sax, E.; Mueller-Glaser, K. D.
1998. In: IEEE European Test Workshop, ETW98, Barcelona, Spain 1998
A testbench for VHDL-AMS
Sax, E.; Mueller-Glaser, K. D.
1998. In: 1st International Forum on Design Languages, FDL’98, EPFL, Lausanne, Switzerland 1998
Advanced optimistic approaches in logic simulation
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1998. In: Proceedings of the European Design, Automation and Test Conference 1998, Paris, France 1998
Rapid productizing
Schmerler, S.
1998. In: Steuergeräte-Design im Automobilbau und in der Industrieautomation 1998, Essen 1998
On code generation for rapid prototyping using CDIF
Burst, A.; Spitzer, B.; Wolff, M.; Mueller-Glaser, K. D.
1998. In: Conference proceedings. OOPSLA ’98, Vancouver, British Columbia 1998. New York 1998. (ACM SIGPLAN notices. 33,10.)
A rapid prototyping environment for the concurrent development of mechatronic systems
Burst, A.; Wolff, M.; Kuehl, M.; Mueller-Glaser, K. D.
1998. In: European Concurrent Engineering Conference, ECEC’98, Erlangen, Germany 1998
Using CDIF for concept-oriented rapid prototyping of electronic systems
Burst, A.; Wolff, M.; Kuehl, M.; Mueller-Glaser, K. D.
1998. In: International Workshop on Rapid System Prototyping, RSP’98, Leuven, Belgium 1998
Reverse engineering concepts for VHDL
Lehmann, G.; Kuehl, M.; Mueller-Glaser, K. D.
1998. In: 2nd Reuse Workshop, Karlsruhe 1998
Objektorientierter Entwurf und Simulation eingebetteter Echtzeitsysteme in der Automatisierungstechnik
Kuehl, M.; Burst, A.; Frank, T.; Mueller-Glaser, K. D.
1998. In: ASIM’98, Zürich, Schweiz 1998
Non-contact tonometry using laser interferometry
Drescher, J.; Stork, W.; Hey, S.; Gundlach, A.; Mueller-Glaser, K. D.; Kreiner, C. F.
1998. In: BIOS EUROPE 98, Stockholm 1998
Design, fabrication and clinical test of a foldable microstructured intraocular lens
Kreiner, C. F.; Mueller-Glaser, K. D.; Stork, W.; Wagner, A.
1998. In: Micro-system technologies 98. Ed.: H. Reichl. Berlin 1998
Mikrooptisches Tonometer
Drescher, J.; Kruse, A.; Kessler, D.; Stork, W.; Mueller-Glaser, K. D.; Kreiner, C. F.
1998. In: BMT 98, Dresden 1998
Noninvasive, real time laser Doppler flowmetry in perfusion regions and larger vessels
Elter, P.; Seiter, E.; Stork, W.; Karch, T.; Mueller-Glaser, K. D.; Lutter, N.
1998. In: BIOS EUROPE 98, Stockholm 1998
Books
Forschungsgebiete Rapid Prototyping und Hardware-in-the-Loop - Eine Übersicht
Burst, A.; Spitzer, B.; Mueller-Glaser, K. D.
1998. Karlsruhe 1998. (Technischer Bericht. Institut für Technik der Informationsverarbeitung.)
Grundlagen der Digitaltechnik. 2., verb. Aufl
Lipp, H. M.
1998. München 1998
PhD Theses
Zum kooperativen Entwurf von eingebetteten Echtzeitsystemen. PhD dissertation
Tanurhan, Y.
1998. Karlsruhe 1998. (FZI-Publikation. 1998,1.) Fak. f. Elektrotechnik, Diss. v. 12.2.1998., Universität Karlsruhe (TH)
Prädiktive Methoden für optimistische Synchronisationsprotokolle in der verteilten Simulation. PhD dissertation
Schmerler, S.
1998. Karlsruhe 1998. (FZI-Publikation. 1998,3.) Fak. f. Elektrotechnik, Diss. v. 22.6.1998., Universität Karlsruhe (TH)
Contributions to the integration of tools and techniques for the development of heterogeneous embedded real-time systems. PhD dissertation
Ernst, J.
1998. Karlsruhe 1998. (FZI-Publikation. 1998,2.) Fak. f. Elektrotechnik, Diss. v. 18.2.1998., Universität Karlsruhe (TH)
Journal Articles
VYPER! - VHDL Reverse Engineering Software, Finalist des European Academic Software Award
Lehmann, G.; Elter, P.
1998. Physikalische Blätter, 53 (12), 1234
Conference Papers
Experiences with the development of an MPEG-4 oriented PC multimedia application
Stingl, T.; Dreier, R.; Barheine, O.
1998. Multimedia Systems and Applications, 1-6 November 1998, Boston, 50–59, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.337453
1997
Book Chapters
State of the art and future of the telematics in the automotive industry
Hoffmann, E.; Gabel, P.; Nagel, G.; Tanurhan, Y.; Winter, A.
1997. In: 4th International Workshop of Automotive Applications, Mannheim, Germany 1997. S. 1-9
High-Level Entwurf eines Bus Controllers: ein Anwendungsbeispiel
Bittruf, D.; Winter, A.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: Entwurf integrierter Schaltungen. 8. E.I.S.-Workshop, Hamburg 1997. Hrsg.: L. Peters. Sankt Augustin 1997. S. 272-279. (GMD-Studien. 318.)
Erweiterte Verwendung und Validierung von Statemate Modellen durch Konvertierung zu SDL
Stingl, T.; Huber, M.; Tanurhan, Y.
1997. In: Statemate Anwendertreffen, München 1997
Predictive time-warp
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: 11th European Simulation Multiconference, ESM, Istanbul, Turkey 1997
Predictive optimism in logic simulation
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: IASTED International Conference on Applied Modelling and Simulation, AMS’97, Banff, Canada 1997
Integrationsmöglichkeiten von MATRIXx in existierende Entwurfs-, Simulations- und Analyseumgebungen
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: ISI Nutzerkonferenz, Kassel 1997
Aufbau einer Signalgenerierungsumgebung zur Validierung von heterogenen Systemmodellen im komplexen Entwurfsablauf
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: Entwurf integrierter Schaltungen. 8. E.I.S.-Workshop, Hamburg 1997. Hrsg.: L. Peters. Sankt Augustin 1997. (GMD-Studien. 318.)
Unterstützung der Einführung von VHDL-AMS unter dem Aspekt der Wiederverwendbarkeit vorhandener Modellbibliotheken
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: Fachmesse für Simulation und Visualisierung, Dortmund, Germany 1997
Austauschformate und Möglichkeiten zur virtuellen Organisation
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: Steuergeräte-Design im Automobilbau und in der Industrieautomation, Munich, Germany 1997
Reuse of approved models to support the establishment of VHDL-AMS
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1997. In: VHDL International User’s Forum Rapid Prototyping with VHDL and IEEE/VIUF International Workshop on Behavioral Modeling and Simulation, BMAS’97, Washington, VA 1997
PhD Theses
Rechnerunterstützte Wiederverwendung von Hardware-Beschreibungsmodellen beim Entwurf digitaler Systeme. PhD dissertation
Lehmann, G.
1997. Fak. f. Elektrotechnik, Diss. v. 15.12.1997., Universität Karlsruhe (TH)
An approach to computer-aided design of electronic products of the future. PhD dissertation
Spendel, J.
1997. Fak. f. Elektrotechnik, Diss. v. 7.7.1997., Universität Karlsruhe (TH)
Ein neues Konzept zur Modellierung und Timing-Simulation von VLSI Systemen. PhD dissertation
Wunder, B.
1997. Fak. f. Elektrotechnik, Diss. v. 24.7.1997., Universität Karlsruhe (TH)
Journal Articles
Simultaneous measurement of the velocity and the displacement of the moving rough surface by a laser Doppler velocimenter
Matsubara, K.; Stork, W.; Wagner, A.; Drescher, J.; Mueller-Glaser, K. D.
1997. Applied optics, 19 (July), 4516–4520
Moderner Entwurf von Mikrosystemen
Mueller-Glaser, K. D.
1997. Elektrotech. u. Informationstech. 114 (1997) S. 475-482
Speckle shearing interferometry using a diffractive optical beamsplitter
Gundlach, A.; Huntley, J. M.; Manzke, B.; Schwider, J.
1997. Opt. engng. 36 (1997) S. 1488-1493
Simultaneous measurement of the velocity and the displacement of the moving rough surface by a laser Doppler velocimeter
Matsubara, K.; Stork, W.; Wagner, A.; Drescher, J.; Mueller-Glaser, K. D.
1997. Appl. opt. 36 (1997) S. 4516-4520
Reports/Preprints
Simulation Backplane Approach
Schmerler, S.; Tanurhan, Y.
1997
1996
Book Chapters
A new concept for accurate modeling of VLSI interconnections and its application for timing simulation
Wunder, B.; Lehmann, G.; Mueller-Glaser, K. D.
1996. In: Proceedings. EURO-DAC ’96, European Design Automation Conference with EURO-VHDL ’96 and Exhibition, Geneva, Switzerland 1996. Los Alamitos, Calif. 1996
VAMP: a VHDL based concept for accurate modeling and post layout timing simulation of electronic systems
Wunder, B.; Lehmann, G.; Mueller-Glaser, K. D.
1996. In: Proceedings 1996. 33rd Design Automation Conference, Las Vegas, Nev. 1996. New York, NY 1996
Rapid prototyping of a communication controller for the CAN bus
Winter, A.; Bittruf, D.; Tanurhan, Y.; Mueller-Glaser, K. D.
1996. In: IEEE International Workshop on Rapid System Prototyping, Thessaloniki 1996
An approach for integrated specification and design of real-time systems
Tanurhan, Y.; Schmerler, S.; Goelz, H.-P.; Mueller-Glaser, K. D.
1996. In: Proceedings. EURO-DAC ’96, European Design Automation Conference with EURO-VHDL ’96 and Exhibition, Geneva, Switzerland 1996. Los Alamitos, Calif. 1996
Towards real-time system specification and design
Tanurhan, Y.; Schmerler, S.; Mueller-Glaser, K. D.
1996. In: SAE IPC Conference and Exposition, 1996
Integrated design process with MESA/MERLAN
Tanurhan, Y.; Schmerler, S.; Mueller-Glaser, K. D.
1996. In: Proceedings of the 1996 IEEE International Symposium on Computer-Aided Control System Design, Dearborn, Mich. 1996. Piscataway, NJ 1996
Specification and design of real-time systems
Tanurhan, Y.; Schmerler, S.; Mueller-Glaser, K. D.
1996. In: EUROMICRO Workshop of Real-Time Systems, Frankfurt 1996
Einsatz von Methoden der elektrischen Spracherkennung für die Analyse von Atmungsgeräuschen im Rahmen des Patientenmonitoring
Stork, W.; Koehle, R.; Kouemou, G.; Lutter, N.; Mueller-Glaser, K. D.
1996. In: BMT-Kongreß, Zürich 1996
Development of a design tool for holographic images
Reiche, L.; Stork, W.; Mueller-Glaser, K. D.; Bartelt, H.; Roth, H.; Reinhart, W.
1996. In: Proceedings of the Micro System Technologies 96, Potsdam 1996
CAD of microsystems - a challenge for system engineering
Mueller-Glaser, K. D.
1996. In: Proceedings. EURO-DAC ’96, European Design Automation Conference with EURO-VHDL ’96 and Exhibition, Geneva, Switzerland 1996. Los Alamitos, Calif. 1996
Eine alte Tradition und neue Aspekte der deutschsprachigen Ingenieurausbildung
Lipp, H. M.
1996. In: Bildung durch Kommunikation. Hrsg.: A. Melezinek. Alsbach/Bergstr. 1996. S. 655-658. (Leuchtturm-Schriftenreihe Ingenieurpädagogik. 36.)
A VHDL reuse workbench
Lehmann, G.; Wunder, B.; Mueller-Glaser, K. D.
1996. In: Proceedings. EURO-DAC ’96, European Design Automation Conference with EURO-VHDL ’96 and Exhibition, Geneva, Switzerland 1996. Los Alamitos, Calif. 1996
VYPER! - Eine Analyseumgebung zur rechnerunterstützten Wiederverwendung von VHDL-Entwürfen
Lehmann, G.; Wunder, B.; Mueller-Glaser, K. D.
1996. In: Hardwarebeschreibungssprachen und Modellierungsparadigmen. Hrsg.: M. Glesner. Aachen 1996. S. 2-14
Basic concepts for an HDL reverse engineering tool-set
Lehmann, G.; Wunder, B.; Mueller-Glaser, K. D.
1996. In: ICCAD-96, San Jose, CA 1996
PhD Theses
Robuste Verfügung partiell definierter Steuerwerke für vorgegebene zweistufige Strukturen. PhD dissertation
Maier, M.
1996. Düsseldorf 1996. (Fortschritt-Berichte VDI. Reihe 10, Nr.399.) Fak. f. Elektrotechnik, Diss. v. 16.2.1995., Universität Karlsruhe (TH)
Conference Papers
Der Einsatz einer allgemeinen Testsignal-Beschreibungsumgebung zur Unterstützung des Entwurfs von Mikrosystemen
Sax, E.; Tanurhan, Y.
1996. 3. Workshop Methoden und Werkzeuge zum Entwurf von Mikrosystemen mit dem Schwerpunkt Methoden zum Entwurf, zur Integration und Parametrisierung von Komponenten für applikationsspezifische integrierte Mikrosysteme 1996, 22–29, C-LAB/Analoge Systemtechnik
VYPER! - Eine Analyseumgebung zur rechnerunterstützten Wiederverwendung von VHDL-Entwürfen
Lehmann, G.; Wunder, B.; Mueller-Glaser, K. D.
1996. 2. GI/ITG/GMD-Workshop Hardwarebeschreibungssprachen und Modellierungsparadigmen, 15.-16. Februar 1996 Darmstadt, Germany, 2–14
CAD of microsystems-a challenge for systems engineering
Mueller-Glaser, K. D.
1996. Proceedings / EURO-DAC ’96 - uropean Design Automation Conference with EURO-VHDL ’96 and Exhibition, September 16 - 20, 1996, Geneva, Switzerland, 280–281, IEEE Computer Society
Intelligenter optischer Sensor für die berührungslose Geschwindigkeitsmessung an Festkörperoberflächen mit CAN-Bus Schnittstelle
Stork, W.; Wagner, A.; Drescher, J.; Schwarz, R.; Rist, M.; Matsubara, K.; Mueller-Glaser, K. D.
1996. Proceedings of the conference "Sensoren und Meßsysteme", VDI/VDE-GMA, Bad Nauheim, 11.-13-3.1996
Qualitätssicherung bei mikrostrukturierten Intraokularlinsen
Stork, W.; Wagner, A.; Thurnay, T.; Mueller-Glaser, K. D.
1996. Informationstechnik für Mikrosysteme, Stuttgart 1996
1995
Book Chapters
Towards an Environment for System Specification and Design
Bortolazzi, J.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. Codesign : computer-aided software, hardware engineering; [... presentations and discussions at the First International Workshop on Software, Hardware Codesign (CODES), held in Grassau, Germany, in May 1992]. Ed.: Jerzy Rozenblit ...., 281–298, Institute of Electrical and Electronics Engineers (IEEE)
Post layout timing simulation with accurate modeling of interconnections using a VHDL simulator
Wunder, B.; Lehmann, G.; Mueller-Glaser, K. D.
1995. In: VIUF Fall 1995, Boston, MA 1995. S. 5.1-5.9
The integrated real-time system specification and design environment: IRTISD
Tanurhan, Y.; Schmerler, S.; Stingl, T.; Mueller-Glaser, K. D.
1995. In: Proceedings. ESTEC Systems Engineering Workshop, Noordwijk, The Netherlands 1995
Mikrooptik in Japan
Stork, W.; Matsubara, K.
1995. In: Mikrooptik Workshop, Jena 1995
Miniaturized laser-Doppler velocimeter for speed measurements of solid state surfaces
Stork, W.; Wagner, A.; Drescher, J.; Kuehnle, A.; Matthae, T.; Mueller-Glaser, K. D.
1995. In: Sensor 95. Kongreß-Band. 7. Internat. Fachmesse mit Kongreß für Sensoren, Meßaufnehmer & Systeme, Nürnberg 1995. Wunstorf-Steinhude : ACS Organis. 1995. S. 601-606
A backplane for mixed-mode cosimulation
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Eurosim ’95 Simulation Congress. Proceedings of the 1995 EUROSIM Conference, EUROSIM ’95, Vienna, Austria. Ed.: F. Breitenecker. Amsterdam 1995. S. 493-498
Backplane-basierte Ansätze für die Cosimulation
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Proceedings. 7. E.I.S.-Workshop Entwurf Integrierter Schaltungen, Chemnitz 1995. S. 48-56 und in: 2. Workshop Methoden- und Werkzeugentwicklung für den Mikrosystementwurf, Karlsruhe 1995. Hrsg.: W. John. Paderborn 1995. S. 170-177
A backplane approach for cosimulation in high-level system specification environments
Schmerler, S.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Proceedings. EURO-DAC ’95, European Design Automation Conference with EURO-VHDL, Brighton, G.B. 1995. S. 262-267
Unterstützung des durchgängigen Systementwurfs durch VHDL-A
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Entwurf integrierter Schaltungen. 7. EIS- Workshop, Chemnitz 1995. Hrsg.: H.T. Vierhaus. Sankt Augustin 1995. S. 283-290. (GMD-Studien. 280.)
Integrated design process support with VHDL-A
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Eurosim ’95 Simulation Congress. Proceedings of the 1995 EUROSIM Conference, EUROSIM ’95, Vienna, Austria. Ed.: F. Breitenecker. Amsterdam 1995. S. 499-504
Test signal description for design and test
Sax, E.; Tanurhan, Y.; Mueller-Glaser, K. D.; et al.
1995. In: Proceedings. International Mixed Signal Testing Workshop, Grenoble, France 1995. S. 130-134
Simulation auf Systemebene beim Mikrosystementwurf
Mueller-Glaser, K. D.; Tanurhan, Y.; Schmerler, S.
1995. In: 2. Workshop Methoden- und Werkzeugentwicklung für den Mikrosystementwurf, Karlsruhe 1995. Hrsg.: W. John. Paderborn 1995. S. 27-34
Computer simulation of a multi-wavelength pulse oximeter
Lutter, N.; Engelhardt, K.; Manzke, B.; Zuerl, K.; Stork, W.
1995. In: Proceedings of the 24th Central European Congress on Anestesiology, Vienna, Austria 1995
Intrathorakale ventilatorische Strömungsgeräusche
Lutter, N.; Luedtcke, B.; Tessmer, J.; Stork, W.; Mueller-Glaser, K. D.
1995. In: Proceedings of the 24th Central European Congress on Anestesiology, Vienna, Austria 1995
Monitoring breathing sounds in intubated patients
Lutter, N.; Luedtcke, B.; Tessmer, J.; Stork, W.; Mueller-Glaser, K. D.
1995. In: Proceedings of the 6th Annual Meeting of the European Society for Computing and Technology in Anaesthesia and Intensive Care, ESCTAIC, Palermo, Italy 1995
VYPER! - A VHDL hypertext environment for system design reuse
Lehmann, G.; Wunder, B.; Mueller-Glaser, K. D.
1995. In: VIUF Fall 1995, Boston, MA 1995. S. 1.15-1.23
An environment for electronic systems simulation based on VHDL
Lehmann, G.; Wunder, B.; Wolff, M.; Mueller-Glaser, K. D.
1995. In: VHDL-Forum for CAD in Europe, Nantes, France 1995. S. 25-33
Diffractive optical principles for the improvement of the clinical performance of intraocular lenses
Kreiner, C. F.; Stork, W.; Wagner, A.
1995. In: Proceedings of the 5th Pan-Ophtalmologica, Sopron, Hungary 1995
Using ObjectCharts to specify and design electronic systems
Ernst, J.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Proceedings. 7th EUROMICRO Workshop on Real-Time Systems, Odense, Denmark 1995. Los Alamitos, Calif. 1995
Validierung heterogener Systeme durch Emulation
Bittruf, D.; Tanurhan, Y.; Mueller-Glaser, K. D.
1995. In: Entwurf integrierter Schaltungen. 7. EIS- Workshop, Chemnitz 1995. Hrsg.: H.T. Vierhaus. Sankt Augustin 1995. S. 291-300. (GMD-Studien. 280.)
Books
Grundlagen der Digitaltechnik
Lipp, H. M.
1995. München 1995
PhD Theses
Graphenorientierte Methoden zur Durchführung des verketteten Ablaufs automatisierter Schaltungsentwürfe. PhD dissertation
Steuber, O. von
1995. Fak. f. Elektrotechnik, Diss. v. 16.2.1995., Universität Karlsruhe (TH)
Reduktion und Abschätzung der Leistungsaufnahme in Steuerwerkssystemen. PhD dissertation
Reither, F.
1995. Düsseldorf 1995. (Fortschritt-Berichte VDI. Reihe 9, Nr. 206.) Fak. f. Elektrotechnik, Diss. v. 5.12.1994., Universität Karlsruhe (TH)
Journal Articles
Miniaturisiertes Laser-Doppler Velocimeter für die Geschwindigkeits- und Längenmessung an bewegten Festkörperoberflächen
Stork, W.; Wagner, A.; Drescher, J.; Mueller-Glaser, K. D.
1995. Laser-Magazin, (4)
An approach to detailed modeling of digital CMOS gates for logic simulation using VHDL
Lehmann, G.; Nagel, P.; Mueller-Glaser, K. D.
1995. Arch. f. Elektron. u. Übertragungstech. 49 (1995) S. 81-90
The behaviour of flip-flops under different boundary conditions
Dudziak, H.
1995. Microelectron. j. 26 (1995) S. 361-374
Conference Proceedings
2. Workshop Methoden- und Werkzeugentwicklung für den Mikrosystementwurf, Karlsruhe 1995. Tagungsband
John, W.; Eggert, H.; Mueller-Glaser, K. D. (Eds.)
1995. Paderborn 1995
1994
Book Chapters
Objektorientierte Modellierung zeitlicher Spezifikationsdaten beim Entwurf digitaler Systeme
Weber, J.; Pihulak, B.; Müller-Glaser, K. D.
1994. In: Tagungsband. Internationaler Kongreß SMT/ASIC/Hybrid, Nürnberg 1994
Miniaturized laser-Doppler-velocimeter using microoptic elements
Stork, W.; Müller-Glaser, K. D.; Schmidt, J.; Wagner, A.; Yajima, H.
1994. In: Proceedings of Micro System Technologies 94. Berlin 1994
Entwurfsunterstützung durch Abschätzung von Chipfläche, Signallaufzeiten und Verlustleistung in frühen Entwurfsphasen
Kirsch, K.; Müller-Glaser, K. D.
1994. In: Tagungsband. Internationaler Kongreß SMT/ASIC/Hybrid, Nürnberg 1994
Abschätzung des Flächenbedarfs und der maximalen Taktrate von Steuerwerken während der Studienphase eines ASIC-Entwurfs
Frickel, J.; Müller-Glaser, K. D.
1994. In: Tagungsband. Internationaler Kongreß SMT/ASIC/Hybrid, Nürnberg 1994
Toward an environment for system specification and design
Bortolazzi, J.; Tanurhan, Y.; Müller-Glaser, K. D.
1994. In: Codesign: computer-aided software/ hardware engineering. Ed.: J. Rozenblit. Piscataway, USA 1994. S. 281-298
An integrated environment for specification, design and simulation of embedded control applications
Bortolazzi, J.; Tanurhan, Y.; Müller-Glaser, K. D.
1994. In: EUROSPACE. On-Board Data Management Symposium, Rome 1994. S. 299-314
Simulation auf Systemebene beim Mikrosystementwurf
Tanurhan, Y.; Schmerler, S.; Sax, E.; Müller-Glaser, K. D.
1994. In: 1. Methoden- und Werkzeugentwicklung für den Mikrosystementwurf, Karlsruhe 1994. Karlsruhe : Dipl.-Ing. W. John, Dr.-Ing. H. Eggert 1994. S. 46-56
Framework integration and data modelling in the METEOR project
Tanurhan, Y.; Müller-Glaser, K. D.
1994. In: Micro-system technologies ’94. Ed.: H. Reichl. Berlin 1994. S. 1160-1162
Books
Schaltungsdesign mit VHDL
Lehmann, G.; Wunder, B.; Selz, M.
1994. Franzis
Journal Articles
Reliable EBT Fine Positioning Using Correlation-Based Window Adjustment
Scharf, R.; Wolz, W.; Mueller-Glaser, K. D.; Seitzer, D.
1994. Microelectronic engineering, 24 (1-4), 155–162. doi:10.1016/0167-9317(94)90066-3
Towards higher quality of design and test - perspectives of EBT tool integration
Mueller-Glaser, K. D.; Wolz, W.
1994. Microelectronic engineering, 24 (1-4), 259–270. doi:10.1016/0167-9317(94)90078-7
ARTUS - an open framework for logic synthesis
Steuber, O. von; Lipp, H. M.
1994. Microprocessors and microsyst. 18 (1994) S. 469-472
VHDL - Esperanto der CAE-Branche
Müller-Glaser, K. D.
1994. Elektron. plus 1994 Nr. 1 S. 1
Die goldene Mitte - Kopplung von rechnergestützten Werkzeugen für den Mikrosystementwurf. Teil I + II
Müller-Glaser, K. D.
1994. Elektron. 43 (1994) Nr. 21 S. 84-96 u. Nr. 23 S. 114-122
Embedding large finite state machines into programmable devices
Dudziak, H.; Reither, F.; Lipp, H. M.
1994. Microelectron. j. 25 (1994) S. 171-182
Conference Papers
Framework Integration and Data Modeling in the METEOR Project
Tanurhan, Y.; Müller-Glaser, K. D.
1994. Micro-system technologies ’94 : 4th International Conference on Micro Electro, Opto, Mechanical Systems and Components, Berlin, October 19 - 21, 1994. Ed.: H. Reichl, 1160–1162, VDE Verlag
Ein Hypertext basiertes Werkzeug zur Erfassung und Prüfung von Anforderungsspezifikationen für den Mikrosystementwurf
Pihulak, B.; Tanurhan, Y.; Mueller-Glaser, K. D.
1994. 1. Workshop Methoden- und Werkzeugentwicklung für den Mikrosystementwurf : im Rahmen des 2. Statusseminars zum BMFT-Verbundprojekt METEOR, 15. November 1994, Karlsruhe. Hrsg.: W. John, Projektsekretariat METEOR
Entwurfsunterstützung durch Abschätzung von Chipfläche, Signallaufzeiten und Verlustleistung in frühen Entwurfsphasen
Kirsch, K.; Mueller-Glaser, K. D.
1994. Tagungsband Internationaler Kongresse, SMT/ASIC/Hybrid, Nürnberg, 1994
1993
Book Chapters
Machbarkeitsstudie zur Integration der Werkzeuge Analogy Saber und ISI Matrix
Lehmann, G.; Wunder, B.; Tanurhan, Y.; Bortolazzi, J.
1993. Technischer Report. Institut für Technik der Informationsverarbeitung und Forschungszentrum Informatik, Universität Karlsruhe (TH)
Theory of interconnection networks
Fey, D.; Stork, W.
1993. Hrsg.: P. Lalanne: Perspectives of Parallel Optical Interconnects, 333–360, Springer Verlag. doi:10.1007/978-3-642-49264-8_14
Entwurf und Verifikation von zeitoptimalen Mehrtaktsystemen
Dudziak, H.
1993. In: GI/ITG-Workshop Formale Methoden zum Entwurf korrekter Systeme, Bad Herrenalb 1993. Hrsg.: T. Kropf. Karlsruhe 1993. S. 79-80. (Interner Bericht. Fakultaet fuer Informatik, Universitaet Karlsruhe. 1993,10.)
Books
Systemspezifikation, Systemsimulation und Rapid Prototyping. Methoden und Werkzeuge
Mueller-Glaser, K. D.; Bortolazzi, J.; Tanurhan, Y.
1993. Forschungszentrum Informatik (FZI)
Systemspezifikation, Systemsimulation und Rapid Prototyping - Methoden und Werkzeuge
Müller-Glaser, K. D.; Bortolazzi, J.; Tanurhan, Y.
1993. Forschungszentrum Informatik (FZI)
PhD Theses
Ein Verfahren zur Berechnung zeitoptimaler Taktsysteme. PhD dissertation
Dudziak, H.
1993. Duesseldorf 1993. (Fortschrittberichte VDI. Reihe 9, Nr. 158.) Fak. f. Elektrotechnik, Diss. v. 30.11.1992., Universität Karlsruhe (TH)
Ein exaktes und robustes Verfahren fuer die Timing-Verifikation. PhD dissertation
Bolender, E.
1993. Duesseldorf 1993. (Fortschritt-Berichte VDI. Reihe 20, Nr. 97.) Fak. f. Elektrotechnik, Diss. v. 11.2.1993., Universität Karlsruhe (TH)
Conference Papers
An Approach to the Integration of Formal and Executable Specifications of Heterogenous Systems and Performance Modelling
Tanurhan, Y.; Bortolazzi, J.; Mueller-Glaser, K. D.
1993. Proceedings. 2nd IFIP International Workshop on Hardware/Software Codesign, Innsbruck, Austria, 1993
Achromatic Laser-Doppler-Velocimeter using diffractive optic elements
Stork, W.; Wagner, A.; Schmidt, J.
1993. Proceedings of the 4th MOC-GRIN, Yokohama, Japan, 20.-22. October 1993
Diffraktive optische Komponenten und ihre Anwendungen
Stork, W.; Manzke, B.; Haselbeck, S.; Kobolla, H.; Schmidt, J.; Schwider, J.; Streibl, N.; Voelkel, R.
1993. Proceedings. 3. Symposium Mikrosystemtechnik, Regensburg, 17./18. Februar 1993
Synthesis with VHDL
Selz, M.; Mueller-Glaser, K. D.
1993. VHDL-Forum for CAD in Europe : Spring ’93 meeting, general sessions, [Innsbruck/Igls, Austria, March 14 - 17, 1993]
Diffractive optical elements for miniaturized Laser-Doppler velocimetry systems
Schmidt, J. C.; Völkel, R.; Stork, W.; Schwider, J.; Streibl, N.
1993. Optics as a key to high technology : 16th congress of the International Commission for Optics ; 9 - 13 August 1993, Budapest, Hungary. Ed.: G. akos, 662–663, Society of Photo-optical Instrumentation Engineers (SPIE)
Holographic optical elements for interconnections and sensors
Schmidt, J.; Voelkel, R.; Schwider, J.; Sheridan, J.; Stork, W.; Streibl, N.; Stiegelmaier, M.; Durst, F.
1993. Holographics International ’92 : 23 - 29 July 1992, Imperial College of Science, Technology and Medicine, London, United Kingdom. Ed.: Yuri N. Denisyuk, 271–277, Society of Photo-optical Instrumentation Engineers (SPIE). doi:10.1117/12.140406
CAE in Requirements Definition and Specification for complex Microelectronic Systems
Mueller-Glaser, K. D.; Bortolazzi, J.; Tanurhan, Y.; Ernst, J.
1993. Proceedings / The Sixth International Conference on VLSI Design : January 3 - 6, 1993, Bombay, India, 305–310, Institute of Electrical and Electronics Engineers (IEEE)
Requirements Definition, Specification and System Simulation for Electronic Control Systems in Automotive Applications
Mueller-Glaser, K. D.; Bortolazzi, J.; Tanurhan, Y.
1993. New frontiers for automotive electronics : ATA-EL 93, international symposium, March 24 - 25, 1993, Lingotto Fiere, XVI Automotor, Torino, Italy, 181–190, Torino
An Examination of Feedback Bridging Faults in Digital CMOS Circuits
Koch, B.; Mueller-Glaser, K. D.
1993. 1993 IEEE International Symposium on Circuits and Systems : [proceedings], Sheraton Chicago Hotel & Towers, Chicago, IL, May 3 - 6, 1993, Pt. 2, 1527–1530, Institute of Electrical and Electronics Engineers (IEEE)
Automated Comparison of Measured versus Expected Signals in Mixed Signal Device Testing and its Effect on Fault Localization Strategies
Helmreich, K.; Chowanetz, M.; Wolz, W.; Scharf, R.; Mueller-Glaser, K. D.
1993. Proceedings / ETC 93 : April 19 - 22, 1993, Rotterdam, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ETC.1993.246611
Diffractive optical elements for the infrared
Haidner, H.; Kipfer, P.; Sheridan, J.; Schwider, J.; Stork, W.; Streibl, N.; Collischon, M.; Lang, A.
1993. Optics as a key to high technology: 16th congress of the International Commission for Optics ; 9 - 13 August 1993, Budapest, Hungary Ed. by G. Akos, T. Lippenyi, G. Lupkovics, and A. Podmaniczky, 666–667, Society of Photo-optical Instrumentation Engineers (SPIE)
Ein System zum Test schneller gemischt analog-digitaler Bausteine auf VLSI-Testsystemen
Chowanetz, M.; Wolz, W.; Arnold, R.; Mueller-Glaser, K. D.
1993. 5. Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : Waldhotel Sachsenstern, Holzhau (Erzgebirge) ; 14. - 16. März 1993, FhG IIS
Continuous Behavioral and Architectural Modelling and Analysis in a Systems Engineering Methodology
Bortolazzi, J.; Tanurhan, Y.; Mueller-Glaser, K. D.
1993. Third International Workshop on Hardware/Software Codesign Grenoble, France, September 22 - 24, 1994, Institute of Electrical and Electronics Engineers (IEEE)
Presentations
Entwurfsvalidierung durch den Einsatz von Elektronenstrahl-Testsystemen
Kuntzsch, C.; Wolz, W.; Scharf, R.; Helmreich, K.; Mueller-Glaser, K. D.
1993. 5. Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen : Waldhotel Sachsenstern, Holzhau (Erzgebirge) ; 14. - 16. März 1993
1992
Book Chapters
ARTUS - an open framework for logic synthesis
Steuber, O. von; Lipp, H. M.
1992. In: Proceedings of the 7th Symposium on Microcomputer and Microprocessor Applications (my-P’92), Budapest/Ungarn 1992. Vol. 2. Budapest/Ungarn 1992. S. 479-484
The exact solution of timing verification
Bolender, E.; Lipp, H. M.
1992. In: Proceedings of the European Design Automation Conference (EURO-DAC), Hamburg 1992. Los Alamitos 1992. S. 132-137
Timing verification: a new understanding of false paths
Bolender, E.; Lipp, H. M.
1992. In: Proceedings of the European Conference on Design Automation (EDAC), Bruessel 1992. Los Alamitos 1992. S. 383-387
1990
Book Chapters
Fuzzy specification of finite state machines
Mensch, S.; Lipp, H. M.
1990. In: Proceedings of the European Design Automation Conference (EDAC), Glasgow, Scotland 1990. Washington, DC 1990. S. 622- 626
A gate-matrix oriented partitioning approach for multilevel logical networks
Huentemann, F.; Baitinger, U. G.
1990. In: Proceedings of the European Design Automation Conference (EDAC), Glasgow, Scotland 1990. Washington, DC 1990. S. 327- 331
PhD Theses
Methoden zur effizienten Einbettung von Steuerwerken in kundenprogrammierbare Logikbausteine. PhD dissertation
Mensch, S.
1990. Duesseldorf 1990. (Forschritt-Berichte VDI. Reihe 9, Nr. 103.) Fak. f. Elektrotechnik, Diss. v. 13.2.1990., Universität Karlsruhe (TH)
Anwendungsspezifischer Entwurf von DOMINO-CMOS-Schaltnetzen im Gate-Matrix- Entwurfsstil. PhD dissertation
Huentemann, F.
1990. Duesseldorf 1990. (Fortschritt-Berichte VDI. Reihe 9, Nr. 107.) Fak. f. Elektrotechnik, Diss. v. 14.2.1990., Universität Karlsruhe (TH)
Journal Articles
An approach to computer-aided specification
Mueller-Glaser, K. D.; Bortolazzi, J.
1990. IEEE Journal of Solid-State Circuits, 25 (2), 335–345
Conference Papers
Towards computer aided specification of analog components
Bortolazzi, J.; Mueller-Glaser, K. D.
1990. Proceedings of the IEEE 1990 Custom Integrated Circuits Conference, May 13 - 16, 1990, Boston, Massachusetts, 10.7/1–4, Institute of Electrical and Electronics Engineers (IEEE)
1989
Book Chapters
FIPS - ein 8-bit RISC-Entwurf fuer die Lehre
Amann, R.; Huentemann, F.; Mahler, H.; Marktscheffel, T.
1989. In: Entwurf integrierter Schaltungen. 3. E.I.S.-Workshop, 1987. Hrsg.: H. Heckl. Sankt Augustin 1987. S. 136-145
Ein Verfahren zur Gewinnung von Zeitbedingungen fuer ein sicheres Schaltverfahren von Schaltnetzen
Lipp, H. M.; Marktscheffel, T.
1989. In: Entwurf integrierter Schaltungen. 4. E.I.S.-Workshop, 1989. Hrsg.: H. Heckl. Sankt Augustin 1989. S. 40-49. (GMD-Studien. Nr. 155.)
Generating valid tests for static CMOS- circuits based on a delay model
Lipp, H. M.; Schaefer, M.
1989. In: Proceedings. 1988 IEEE International Symposium on Circuits and Systems, Espoo, Helsinki 1988. Vol. 1. New York, NY: IEEE 1988. S. 689-692
PhD Theses
Verfahren zur Testmustererzeugung fuer statische CMOS-Schaltnetze. PhD dissertation
Schaefer, M.
1989. Duesseldorf 1989. (Fortschritt-Berichte VDI. Reihe 21, Nr. 38.) Fak. f. Elektrotechnik, Diss. v. 25.10.1988., Universität Karlsruhe (TH)
Verfahren zum Entwurf von Schaltnetzen mit sicherem Zeitverhalten. PhD dissertation
Marktscheffel, T.
1989. Duesseldorf 1989. (Fortschritt-Berichte VDI. Reihe 9, Nr. 92.) Fak. f. Elektrotechnik, Diss. v. 30.1.1989., Universität Karlsruhe (TH)
Algorithmen zur Zustandscodierung synchroner Steuerwerke. PhD dissertation
Kaiser, K.-H.
1989. Duesseldorf 1989. (Fortschritt-Berichte VDI. Reihe 9, Nr. 90.) Fak. f. Elektrotechnik, Diss. v. 9.1.1989., Universität Karlsruhe (TH)
Journal Articles
Optimal state chains and state codes in finite state machines
Amann, R.; Baitinger, U. G.
1989. IEEE trans. on computer aided design 8 (1989) S. 153-170
Die Rolle der Synthese im Entwurfsprozess
Schmid, D.; Lipp, H.-M.; Michel, P.
1989. Mikroelektron. 3 (1989) S. 274-276
Conference Papers
An approach to knowledge-based ASIC specification
Mueller-Glaser, K. D.; Bortolazzi, J.
1989. Proceedings of the IEEE 1989 Custom Integrated Circuits Conference, 15-18 May 1989, San Diego, CA, 19.2/1–4, American Physical Society (APS)
1988
PhD Theses
Algorithmische Entwurfsverfahren für zwei- und mehrstufige Schaltnetze. PhD dissertation
Mathony, H.-J.
1988. VDI Verlag
Synthese von Komplex-Gatter-Schaltnetzen in NORA-Logik. PhD dissertation
Clemens, M.
1988. VDI Verlag
1984
1983
Journal Articles
NMOS dense gate matrix VLSI design
Schmidt, K. H.; Mueller-Glaser, K. D.
1983. IEEE Journal of Solid-State Circuits, 18 (2), 157–159
1981
PhD Theses
1980
1979
PhD Theses
Ein Verfahren zur Zustandscodierung für komplexe digitale Schaltungen. PhD dissertation
Zeumer, W.
1979. Universität Karlsruhe (TH)
1978
PhD Theses
Asynchrone Schaltwerke mit Flankensteuerung. PhD dissertation
Kirchner, R.
1978. Universität Karlsruhe (TH)
Ein Entwurfsverfahren zur kostenminimalen dreistufigen Realisierung von Schaltfunktionen. PhD dissertation
Meister, W.
1978. Universität Karlsruhe (TH)
1977
PhD Theses
Rechnergestützte Aufteilung von Schaltwerken unter Berücksichtigung struktureller Eigenschaften. PhD dissertation
Gröger, H.-J.
1977. Universität Karlsruhe (TH)
Reduktion der Verlustleistung von CMOS-Schaltungen durch logisch-strukturelle Maßnahmen. PhD dissertation
Müller-Glaser, K. D.
1977. Universität Karlsruhe (TH)
1976
PhD Theses
Zur Leistungssteigerung von Mehrprozessorsystemen für die Verarbeitung digitaler Bildinformation. PhD dissertation
Vorgrimler, K.
1976. Universität Karlsruhe (TH)
1974
PhD Theses
Ein Verfahren zur quantitativen Bestimmung der Unterscheidbarkeit gedruckter Schriftzeichen. PhD dissertation
Bohner, M.
1974. Universität Karlsruhe (TH)
1973
PhD Theses
Zur Beschreibung und Klassifizierung von Linienstrukturen. PhD dissertation
Sties, M.
1973. Universität Karlsruhe (TH)
1969
PhD Theses
Über die Anwendung des Endlichen Akzeptors auf das Zeichenerkennungsproblem. PhD dissertation
Hartenstein, R.
1969. Universität Karlsruhe (TH)
Über Adaptivität und Lernen in diskreten stochastischen Systemen. PhD dissertation
Hanakata, K.
1969. Universität Karlsruhe (TH)
1968
PhD Theses
Matrixförmige Klassifikatoren : Theorie, Technischer Aufbau, Einsatz in Digitalrechnern. PhD dissertation
Schmid, D.
1968. Universität Karlsruhe (TH)
Stufencodierung : ein neues Codierverfahren zur Fehlerkorrektur. PhD dissertation
Dorsch, B.
1968. Universität Karlsruhe (TH)
Trainingsmethoden zur stückweise linearen Separierung mit der Lernmatrix. PhD dissertation
Wendt, S.
1968. Universität Karlsruhe (TH)
1967
PhD Theses
Die Lernmatrix für binäre Signale : Theorie und Realisierung mit adaptiven Bauelementen. PhD dissertation
Hönerloh, H.-J.
1967. Universität Karlsruhe (TH)
Entwurf eines geschlossenen adaptiven Systems für diskrete deterministische Prozesse. PhD dissertation
Lipp, H.-M.
1967. Universität Karlsruhe (TH)
1966
PhD Theses
Automatische Stichwortanalyse nach dem Rangkriterienverfahren. PhD dissertation
Wagner, S.
1966. Universität Karlsruhe (TH)
1965
PhD Theses
Konstruktion eines Ziffernerkennenden Automaten auf der Grundlage des Potentialverfahrens. PhD dissertation
Kazmierczak, H.
1965. Universität Karlsruhe (TH)
1964
PhD Theses
Eigenschaften und Aufbau von Lernmatrizen für nichtbinäre Signale. PhD dissertation
Müller-Stoy, P.
1964. Universität Karlsruhe (TH)
Selbstkorrigierende Zuordner für vollständige Codes. PhD dissertation
Zendeh Zartoshti, F.
1964. Springer Verlag
1963