M. Sc. Fabian Kempf

  • External Ph. D. Student
  • Group: Prof. Becker
  • Engesserstr. 5

    76131 Karlsruhe

Research interests

Runtime Adaptive Many-Core Architectures

Modern processors have to fulfill more and more conflicting requirements. Processors should not only become more and more powerful and efficient, but also more and more error-resistant. One solution to meet these conflicting requirements is adaptive processor architectures, which adapt to the requirements and the situation.  Through adaptivity at runtime, the best compromise between the requirements can be achieved during operation.

Fault tolerance mechanisms based on adaptive hardware redundancy

With the increasing automation of driving, the risk of electronic malfunctions in the vehicle leading to physical damage is rising. In this context, relevant components must therefore increasingly be designed in such a way that they reliably provide a defined minimum level of functionality despite such malfunctions. This requires the use of suitable fault tolerance mechanisms. We are researching the particularly cost-effective implementation of such mechanisms using adaptive hardware redundancy.

Reliable AI accelerators in safety-critical environments

The basis for autonomous driving and other safety-critical applications is reliable perception of the near environment using cameras, as well as radar and lidar sensors. Machine learning, e.g. Convolutional Neural Networks, provide the best results for object detection. The current challenge is to integrate these neural networks into embedded systems while ensuring reliability. In particular, random hardware faults in AI accelerators as well as the inability of neural networks to estimate their own uncertainty still prevent safety-critical deployment

Student works to be assigned

title type

Supervised student works (selection)

  • SA: “Fault Tolerance in Embedded Mixed Critical Systems”

  • BA: “Concept and implementation of a dynamic lockstep architecture for a LEON3 Many-Core System”

  • BA: “Concept and implementation of a cache-based fault-tolerant mechanism for the LEON3 processor”

  • MA: “Concept and Implementation of an adaptive cache architecture for a LEON3 Many-Core System”

  • MA: “Investigation of machine learning approaches for error detection in control flow based on bus snooping”

Publications


2023
Conference Papers
A Low-Stall Methodology for an Interleaved Processor State Replication
Kempf, F.; Höfer, J.; Hotfilter, T.; Becker, J.
2023. 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 276 – 283, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MCSoC60832.2023.00048
Leveraging Mixed-Precision CNN Inference for Increased Robustness and Energy Efficiency
Hotfilter, T.; Hoefer, J.; Merz, P.; Kreß, F.; Kempf, F.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 36th International System-on-Chip Conference (SOCC), Santa Clara, USA, 05-08 September 2023, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC58585.2023.10256738
Automated Replacement of State-Holding Flip-Flops to Enable Non-Volatile Checkpointing
Kreß, F.; Pfau, J.; Kempf, F.; Schmidt, P.; He, Z.; Harbaum, T.; Becker, J.
2023. 2023 IEEE Nordic Circuits and Systems Conference (NorCAS), 31st October - 1st November 2023, Aalborg, Denmark, 1–7, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NorCAS58970.2023.10305469
A Hardware-Centric Approach to Increase and Prune Regular Activation Sparsity in CNNs
Hotfilter, T.; Höfer, J.; Kreß, F.; Kempf, F.; Kraft, L.; Harbaum, T.; Becker, J.
2023. 2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/AICAS57966.2023.10168566
Leveraging Adaptive Redundancy in Multi-Core Processors for Realizing Adaptive Fault Tolerance in Mixed-Criticality Systems
Kempf, F.; Becker, J.
2023. 2023 12th Mediterranean Conference on Embedded Computing (MECO), 1–5, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/MECO58584.2023.10154986
SiFI-AI: A Fast and Flexible RTL Fault Simulation Framework Tailored for AI Models and Accelerators
Hoefer, J.; Kempf, F.; Hotfilter, T.; Kreß, F.; Harbaum, T.; Becker, J.
2023. Proceedings of the Great Lakes Symposium on VLSI 2023, 287–292, Association for Computing Machinery (ACM). doi:10.1145/3583781.3590226
A holistic hardware-software approach for fault-aware embedded systems
Kempf, F.; Kühbacher, C.; Mellwig, C.; Altmeyer, S.; Ungerer, T.; Becker, J.
2023. 2022 25th Euromicro Conference on Digital System Design (DSD), 704–711, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/DSD57027.2022.00099
2022
Conference Papers
Runtime Adaptive Cache Checkpointing for RISC Multi-Core Processors
Kempf, F.; Höfer, J.; Kreß, F.; Hotfilter, T.; Harbaum, T.; Becker, J.
2022. Conference Proceedings: 2022 IEEE 35th International System-on-Chip Conference (SOCC) Ed.: S. Sezer, 1–6, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC56010.2022.9908110
Data Movement Reduction for DNN Accelerators: Enabling Dynamic Quantization Through an eFPGA
Hotfilter, T.; Kreß, F.; Kempf, F.; Becker, J.; Baili, I.
2022. 2022 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Nicosia, Cyprus, 04-06 July 2022, 371–372. doi:10.1109/ISVLSI54635.2022.00082
Towards Reconfigurable Accelerators in HPC: Designing a Multipurpose eFPGA Tile for Heterogeneous SoCs
Hotfilter, T.; Kreß, F.; Kempf, F.; Becker, J.; Haro, J. M. De; Jiménez-González, D.; Moretó, M.; Álvarez, C.; Labarta, J.; Baili, I.
2022. 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Antwerp, Belgium, 14-23 March 2022, 628–631, Institute of Electrical and Electronics Engineers (IEEE). doi:10.23919/DATE54114.2022.9774716
2021
Conference Papers
FLECSim-SoC: A Flexible End-to-End Co-Design Simulation Framework for System on Chips
Hotfilter, T.; Hoefer, J.; Kreß, F.; Kempf, F.; Becker, J.
2021. IEEE 34th International System-on-Chip Conference (SOCC), 14th-17th September 2021, Las Vegas, Nevada, USA, 83–88, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC52499.2021.9739212
An Adaptive Lockstep Architecture for Mixed-Criticality Systems
Kempf, F.; Hartmann, T.; Bähr, S.; Becker, J.
2021. 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI): 7-9 July 2021, Tampa, FL, USA, 7–12, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/ISVLSI51109.2021.00013
2020
Conference Papers
Embedded Image Processing the European Way: A new platform for the future automotive market
Hotfilter, T.; Kempf, F.; Becker, J.; Reinhardt, D.; Baili, I.
2020. 6th IEEE World Forum on Internet of Things, WF-IoT 2020, New Orleans, United States, 2 - 16 June 2020, Art.Nr. 9221396, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/WF-IoT48130.2020.9221396
2019
Journal Articles
Worst-Case Execution-Time-Aware Parallelization of Model-Based Avionics Applications
Reder, S.; Kempf, F.; Bucher, H.; Becker, J.; Alefragis, P.; Voros, N.; Skalistis, S.; Derrien, S.; Puaut, I.; Oey, O.; Stripf, T.; Ferdinand, C.; David, C.; Ulbig, P.; Mueller, D.; Durak, U.
2019. Journal of aerospace information systems, 16 (11), 521–533. doi:10.2514/1.I010749
Conference Papers
A Network on Chip Adapter for Real-Time and Safety-Critical Applications
Kempf, F.; Anantharajaiah, N.; Masing, L.; Becker, J.
2019. 32nd IEEE International System on Chip Conference, SOCC 2019; Singapore; Singapore; 3 September 2019 through 6 September 2019. Ed.: D. Zhao, 39–44, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC46988.2019.1570558594
Dynamic and scalable runtime block-based multicast routing for networks on chips
Anantharajaiah, N.; Kempf, F.; Masing, L.; Lesniak, F. M.; Becker, J.
2019. Proceedings of the 12th International Workshop on Network on Chip Architectures (NoCArc 2019), Columbus, OH, Ocober 12-13, 2019, 1–6, Association for Computing Machinery (ACM). doi:10.1145/3356045.3360718
2018
Conference Papers
Data Reduction and Readout Triggering in Particle Physics Experiments Using Neural Networks on FPGAs
Baehr, S.; Kempf, F.; Becker, J.
2018. Proceedings of the 18th International Conference on Nanotechnology (IEEE-NANO 2018), Cork, IRL, July 23-26, 2018, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/NANO.2018.8626239
Data Readout Triggering for Phase 2 of the Belle II Particle Detector Experiment Based on Neural Networks
Baehr, S.; Kempf, F.; Becker, J.
2018. Proceedings of the 31th IEEE International System-on-Chip Conference (SOCC), Arlington, VA, September 4-7, 2018, 174–179, Institute of Electrical and Electronics Engineers (IEEE). doi:10.1109/SOCC.2018.8618563