Signalzuweisung (conditional signal assignment)

Nebenläufige Anweisungen – Signalzuweisung (conditional signal assignment)

ARCHITECTURE behavioral OF signals IS
SIGNAL sig_a : std_ulogic;
BEGIN

csa: sig_a <= '1', '0' AFTER 2 ns WHEN sel = 1 ELSE
'0', '1' AFTER 3 ns WHEN sel = 2 ELSE
'Z';


END behavioral;
Dieses Beispiel für eine bedingte nebenläufige Signalzuweisung vom Typ conditional signal assignment entspricht demjenigen Beispiel des selected signal assignments.
Auch diese Signalzuweisung basiert auf mehreren Zuweisungsalternativen, die durch die Bedingung nach dem Schlüsselwort WHEN gesteuert werden. Trifft 'sel = 1' zu, so wird die erste, bei 'sel = 2' wird die zweite Signalzuweisung ausgeführt, sonst wird 'sig_a' der Wert 'Z' zugewiesen.