Block-Konfiguration

Konfigurationsanweisungen – Block-Konfiguration

CONFIGURATION nand3_config OF nand3 IS
FOR behavioral

END FOR ;

END nand3_config;
Architekturen zählen als Block. Die einfachste Blockkonfiguration ist deshalb die Auswahl der Architektur für eine Entity, wie in diesem ersten Beispiel eines Verhaltensmodells.
CONFIGURATION aoi_config_4 OF aoi IS
FOR structural_4

FOR nor_stage

FOR or_c : or2 USE ENTITY work.or2 (behavioral);
END FOR ;
END FOR;


FOR and_stage

FOR and_b : and2 USE ENTITY work.and2 (behavioral);
END FOR ;
FOR and_a : and3 USE ENTITY work.and3 (behavioral);
END FOR ;
END FOR;

END FOR ;

END aoi_config_4 ;
Der Begriff ''Block'' bedeutet aber auch eine Hierarchieebene im VHDL-Modell, die selbst wieder Blöcke oder Komponenten enthalten kann. Dementsprechend können in einer Blockkonfiguration weitere Block- oder Komponentenkonfigurationen stehen.
Achtung: Auch ein GENERATE-Statement gilt als Block.

Eine vollständige Konfiguration reicht von der Auswahl der Architektur bis zur untersten Komponente, gegebenenfalls also über mehrere Blockkonfigurationsanweisungen.

In diesem zweiten Beispiel, der Konfiguration einer strukturalen Architektur, sind weitere Blöcke enthalten.