ALIAS

Deklarations-Anweisungen – ALIAS

SIGNAL bus_16 : bit_vector (0 TO 15);
ALIAS b16 : bit_vector (15 DOWNTO 0) IS bus_16;

ALIAS bus_low : bit_vector (0 TO 7) IS bus_16 (0 TO 7);

ALIAS bus_high : bit_vector (0 TO 7) IS bus_16 (8 to 15);
Um ein Objekt oder einen Teil eines Objekts mit einem anderen Namen und einem anderen Untertyp ansprechen zu können, werden Aliase verwendet. So kann zum Beispiel eine inverse Indizierung erreicht werden ('b16').